0
Your cart

Your cart is empty

Price
  • R0 - R50 (8)
  • R50 - R100 (3)
  • R100 - R250 (322)
  • R250 - R500 (1,177)
  • R500+ (9,180)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems

Trusted Digital Circuits - Hardware Trojan Vulnerabilities, Prevention and Detection (Paperback, Softcover reprint of the... Trusted Digital Circuits - Hardware Trojan Vulnerabilities, Prevention and Detection (Paperback, Softcover reprint of the original 1st ed. 2018)
Hassan Salmani
R3,212 Discovery Miles 32 120 Ships in 10 - 15 working days

This book describes the integrated circuit supply chain flow and discusses security issues across the flow, which can undermine the trustworthiness of final design. The author discusses and analyzes the complexity of the flow, along with vulnerabilities of digital circuits to malicious modifications (i.e. hardware Trojans) at the register-transfer level, gate level and layout level. Various metrics are discussed to quantify circuit vulnerabilities to hardware Trojans at different levels. Readers are introduced to design techniques for preventing hardware Trojan insertion and to facilitate hardware Trojan detection. Trusted testing is also discussed, enabling design trustworthiness at different steps of the integrated circuit design flow. Coverage also includes hardware Trojans in mixed-signal circuits.

Energy Efficient High Performance Processors - Recent Approaches for Designing Green High Performance Computing (Paperback,... Energy Efficient High Performance Processors - Recent Approaches for Designing Green High Performance Computing (Paperback, Softcover reprint of the original 1st ed. 2018)
Jawad Haj-Yahya, Avi Mendelson, Yosi Ben-Asher, Anupam Chattopadhyay
R3,466 Discovery Miles 34 660 Ships in 10 - 15 working days

This book explores energy efficiency techniques for high-performance computing (HPC) systems using power-management methods. Adopting a step-by-step approach, it describes power-management flows, algorithms and mechanism that are employed in modern processors such as Intel Sandy Bridge, Haswell, Skylake and other architectures (e.g. ARM). Further, it includes practical examples and recent studies demonstrating how modem processors dynamically manage wide power ranges, from a few milliwatts in the lowest idle power state, to tens of watts in turbo state. Moreover, the book explains how thermal and power deliveries are managed in the context this huge power range. The book also discusses the different metrics for energy efficiency, presents several methods and applications of the power and energy estimation, and shows how by using innovative power estimation methods and new algorithms modern processors are able to optimize metrics such as power, energy, and performance. Different power estimation tools are presented, including tools that break down the power consumption of modern processors at sub-processor core/thread granularity. The book also investigates software, firmware and hardware coordination methods of reducing power consumption, for example a compiler-assisted power management method to overcome power excursions. Lastly, it examines firmware algorithms for dynamic cache resizing and dynamic voltage and frequency scaling (DVFS) for memory sub-systems.

Reflections on Programming Systems - Historical and Philosophical Aspects (Hardcover, 1st ed. 2018): Liesbeth de Mol, Giuseppe... Reflections on Programming Systems - Historical and Philosophical Aspects (Hardcover, 1st ed. 2018)
Liesbeth de Mol, Giuseppe Primiero
R4,264 Discovery Miles 42 640 Ships in 10 - 15 working days

This book presents a historical and philosophical analysis of programming systems, intended as large computational systems like, for instance, operating systems, programmed to control processes. The introduction to the volume emphasizes the contemporary need of providing a foundational analysis of such systems, rooted in a broader historical and philosophical discussion. The different chapters are grouped around three major themes. The first concerns the early history of large systems developed against the background of issues related to the growing semantic gap between hardware and code. The second revisits the fundamental issue of complexity of large systems, dealt with by the use of formal methods and the development of `grand designs' like Unix. Finally, a third part considers several issues related to programming systems in the real world, including chapters on aesthetical, ethical and political issues. This book will interest researchers from a diversity of backgrounds. It will appeal to historians, philosophers, as well as logicians and computer scientists who want to engage with topics relevant to the history and philosophy of programming and more specifically the role of programming systems in the foundations of computing.

Advanced HDL Synthesis and SOC Prototyping - RTL Design Using Verilog (Hardcover, 1st ed. 2019): Vaibbhav Taraate Advanced HDL Synthesis and SOC Prototyping - RTL Design Using Verilog (Hardcover, 1st ed. 2019)
Vaibbhav Taraate
R5,293 Discovery Miles 52 930 Ships in 10 - 15 working days

This book describes RTL design using Verilog, synthesis and timing closure for System On Chip (SOC) design blocks. It covers the complex RTL design scenarios and challenges for SOC designs and provides practical information on performance improvements in SOC, as well as Application Specific Integrated Circuit (ASIC) designs. Prototyping using modern high density Field Programmable Gate Arrays (FPGAs) is discussed in this book with the practical examples and case studies. The book discusses SOC design, performance improvement techniques, testing and system level verification, while also describing the modern Intel FPGA/XILINX FPGA architectures and their use in SOC prototyping. Further, the book covers the Synopsys Design Compiler (DC) and Prime Time (PT) commands, and how they can be used to optimize complex ASIC/SOC designs. The contents of this book will be useful to students and professionals alike.

Istio: Up and Running - Using a Service Mesh to Connect, Secure, Control, and Observe (Paperback): Lee Calcote, Zack Butcher Istio: Up and Running - Using a Service Mesh to Connect, Secure, Control, and Observe (Paperback)
Lee Calcote, Zack Butcher
R1,096 R961 Discovery Miles 9 610 Save R135 (12%) Ships in 12 - 17 working days

You did it. You successfully transformed your application into a microservices architecture. But now that you're running services across different environments-public to public, private to public, virtual machine to container-your cloud native software is beginning to encounter reliability issues. How do you stay on top of this ever-increasing complexity? With the Istio service mesh, you'll be able to manage traffic, control access, monitor, report, get telemetry data, manage quota, trace, and more with resilience across your microservice. In this book, Lee Calcote and Zack Butcher explain why your services need a service mesh and demonstrate step-by-step how Istio fits into the life cycle of a distributed application. You'll learn about the tools and APIs for enabling and managing many of the features found in Istio. Explore the observability challenges Istio addresses Use request routing, traffic shifting, fault injection, and other features essential to running a solid service mesh Generate and collect telemetry information Try different deployment patterns, including A/B, blue/green, and canary Get examples of how to develop and deploy real-world applications with Istio support

Power Estimation on Electronic System Level using Linear Power Models (Hardcover, 1st ed. 2019): Stefan Schuermans, Rainer... Power Estimation on Electronic System Level using Linear Power Models (Hardcover, 1st ed. 2019)
Stefan Schuermans, Rainer Leupers
R3,008 Discovery Miles 30 080 Ships in 10 - 15 working days

This book describes a flexible and largely automated methodology for adding the estimation of power consumption to high level simulations at the electronic system level (ESL). This method enables the inclusion of power consumption considerations from the very start of a design. This ability can help designers of electronic systems to create devices with low power consumption. The authors also demonstrate the implementation of the method, using the popular ESL language "SystemC". This implementation enables most existing SystemC ESL simulations for power estimation with very little manual work. Extensive case-studies of a Network on Chip communication architecture and a dual-core application processor "ARM Cortex-A9" showcase the applicability and accuracy of the method to different types of electronic devices. The evaluation compares various trade-offs regarding amount of manual work, types of ESL models, achieved estimation accuracy and impact on the simulation speed. Describes a flexible and largely automated ESL power estimation method; Shows implementation of power estimation methodology in SystemC; Uses two extensive case studies to demonstrate method introduced.

Timing Performance of Nanometer Digital Circuits Under Process Variations (Paperback, Softcover reprint of the original 1st ed.... Timing Performance of Nanometer Digital Circuits Under Process Variations (Paperback, Softcover reprint of the original 1st ed. 2018)
Victor Champac, Jose Garcia Gervacio
R3,466 Discovery Miles 34 660 Ships in 10 - 15 working days

This book discusses the digital design of integrated circuits under process variations, with a focus on design-time solutions. The authors describe a step-by-step methodology, going from logic gates to logic paths to the circuit level. Topics are presented in comprehensively, without overwhelming use of analytical formulations. Emphasis is placed on providing digital designers with understanding of the sources of process variations, their impact on circuit performance and tools for improving their designs to comply with product specifications. Various circuit-level "design hints" are highlighted, so that readers can use then to improve their designs. A special treatment is devoted to unique design issues and the impact of process variations on the performance of FinFET based circuits. This book enables readers to make optimal decisions at design time, toward more efficient circuits, with better yield and higher reliability.

Fog Computing, Deep Learning and Big Data Analytics-Research Directions (Hardcover, 1st ed. 2019): C.S.R. Prabhu Fog Computing, Deep Learning and Big Data Analytics-Research Directions (Hardcover, 1st ed. 2019)
C.S.R. Prabhu
R4,230 Discovery Miles 42 300 Ships in 10 - 15 working days

This book provides a comprehensive picture of fog computing technology, including of fog architectures, latency aware application management issues with real time requirements, security and privacy issues and fog analytics, in wide ranging application scenarios such as M2M device communication, smart homes, smart vehicles, augmented reality and transportation management. This book explores the research issues involved in the application of traditional shallow machine learning and deep learning techniques to big data analytics. It surveys global research advances in extending the conventional unsupervised or clustering algorithms, extending supervised and semi-supervised algorithms and association rule mining algorithms to big data Scenarios. Further it discusses the deep learning applications of big data analytics to fields of computer vision and speech processing, and describes applications such as semantic indexing and data tagging. Lastly it identifies 25 unsolved research problems and research directions in fog computing, as well as in the context of applying deep learning techniques to big data analytics, such as dimensionality reduction in high-dimensional data and improved formulation of data abstractions along with possible directions for their solutions.

Neural Networks for Electronics Hobbyists - A Non-Technical Project-Based Introduction (Paperback, 1st ed.): Richard McKeon Neural Networks for Electronics Hobbyists - A Non-Technical Project-Based Introduction (Paperback, 1st ed.)
Richard McKeon
R639 R536 Discovery Miles 5 360 Save R103 (16%) Ships in 10 - 15 working days

Learn how to implement and build a neural network with this non-technical, project-based book as your guide. As you work through the chapters, you'll build an electronics project, providing a hands-on experience in training a network. There are no prerequisites here and you won't see a single line of computer code in this book. Instead, it takes a hardware approach using very simple electronic components. You'll start off with an interesting non-technical introduction to neural networks, and then construct an electronics project. The project isn't complicated, but it illustrates how back propagation can be used to adjust connection strengths or "weights" and train a network. By the end of this book, you'll be able to take what you've learned and apply it to your own projects. If you like to tinker around with components and build circuits on a breadboard, Neural Networks for Electronics Hobbyists is the book for you. What You'll Learn Gain a practical introduction to neural networks Review techniques for training networks with electrical hardware and supervised learning Understand how parallel processing differs from standard sequential programming Who This Book Is For Anyone interest in neural networks, from electronic hobbyists looking for an interesting project to build, to a layperson with no experience. Programmers familiar with neural networks but have only implemented them using computer code will also benefit from this book.

The Virtual and the Real in Planning and Urban Design - Perspectives, Practices and Applications (Paperback): Claudia Yamu,... The Virtual and the Real in Planning and Urban Design - Perspectives, Practices and Applications (Paperback)
Claudia Yamu, Alenka Poplin, Oswald Devisch, Gert De Roo
R1,410 Discovery Miles 14 100 Ships in 12 - 17 working days

The Virtual and the Real in Planning and Urban Design: Perspectives, Practices and Applicationsexplores the merging relationship between physical and virtual spaces in planning and urban design. Technological advances such as smart sensors, interactive screens, locative media and evolving computation software have impacted the ways in which people experience, explore, interact with and create these complex spaces. This book draws together a broad range of interdisciplinary researchers in areas such as architecture, urban design, spatial planning, geoinformation science, computer science and psychology to introduce the theories, models, opportunities and uncertainties involved in the interplay between virtual and physical spaces. Using a wide range of international contributors, from the UK, USA, Germany, France, Switzerland, Netherlands and Japan, it provides a framework for assessing how new technology alters our perception of physical space.

Machine Learning for Model Order Reduction (Paperback, Softcover reprint of the original 1st ed. 2018): Khaled Salah Mohamed Machine Learning for Model Order Reduction (Paperback, Softcover reprint of the original 1st ed. 2018)
Khaled Salah Mohamed
R3,466 Discovery Miles 34 660 Ships in 10 - 15 working days

This Book discusses machine learning for model order reduction, which can be used in modern VLSI design to predict the behavior of an electronic circuit, via mathematical models that predict behavior. The author describes techniques to reduce significantly the time required for simulations involving large-scale ordinary differential equations, which sometimes take several days or even weeks. This method is called model order reduction (MOR), which reduces the complexity of the original large system and generates a reduced-order model (ROM) to represent the original one. Readers will gain in-depth knowledge of machine learning and model order reduction concepts, the tradeoffs involved with using various algorithms, and how to apply the techniques presented to circuit simulations and numerical analysis. Introduces machine learning algorithms at the architecture level and the algorithm levels of abstraction; Describes new, hybrid solutions for model order reduction; Presents machine learning algorithms in depth, but simply; Uses real, industrial applications to verify algorithms.

Mobile Agent-Based Anomaly Detection and Verification System for Smart Home Sensor Networks (Paperback, Softcover reprint of... Mobile Agent-Based Anomaly Detection and Verification System for Smart Home Sensor Networks (Paperback, Softcover reprint of the original 1st ed. 2018)
Muhammad Usman, Vallipuram Muthukkumarasamy, Xin-Wen Wu, Surraya Khanum
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

This book presents the latest developments regarding a detailed mobile agent-enabled anomaly detection and verification system for resource constrained sensor networks; a number of algorithms on multi-aspect anomaly detection in sensor networks; several algorithms on mobile agent transmission optimization in resource constrained sensor networks; an algorithm on mobile agent-enabled in situ verification of anomalous sensor nodes; a detailed Petri Net-based formal modeling and analysis of the proposed system, and an algorithm on fuzzy logic-based cross-layer anomaly detection and mobile agent transmission optimization. As such, it offers a comprehensive text for interested readers from academia and industry alike.

Euro-Par 2018: Parallel Processing Workshops - Euro-Par 2018 International Workshops, Turin, Italy, August 27-28, 2018, Revised... Euro-Par 2018: Parallel Processing Workshops - Euro-Par 2018 International Workshops, Turin, Italy, August 27-28, 2018, Revised Selected Papers (Paperback, 1st ed. 2019)
Gabriele Mencagli, Dora B. Heras, Valeria Cardellini, Emiliano Casalicchio, Emmanuel Jeannot, …
R3,135 Discovery Miles 31 350 Ships in 10 - 15 working days

This book constitutes revised selected papers from the workshops held at 24th International Conference on Parallel and Distributed Computing, Euro-Par 2018, which took place in Turin, Italy, in August 2018. The 64 full papers presented in this volume were carefully reviewed and selected from 109 submissions. Euro-Par is an annual, international conference in Europe, covering all aspects of parallel and distributed processing. These range from theory to practice, from small to the largest parallel and distributed systems and infrastructures, from fundamental computational problems to full-edged applications, from architecture, compiler, language and interface design and implementation to tools, support infrastructures, and application performance aspects.

Network and Parallel Computing - 15th IFIP WG 10.3 International Conference, NPC 2018, Muroran, Japan, November 29 - December... Network and Parallel Computing - 15th IFIP WG 10.3 International Conference, NPC 2018, Muroran, Japan, November 29 - December 1, 2018, Proceedings (Paperback, 1st ed. 2018)
Feng Zhang, Jidong Zhai, Marc Snir, Hai Jin, Hironori Kasahara, …
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

This book constitutes the proceedings of the 15th IFIP International Conference on Network and Parallel Computing, NPC 2018, held in Muroran, Japan, in November/December 2018. The 22 full and 12 short papers presented in this volume were carefully reviewed and selected from 72 submissions. The papers cover traditional areas of network and parallel computing, including parallel applications, distributed algorithms, parallel architectures, software environments, and distributed tools.

Invasive Computing for Mapping Parallel Programs to Many-Core Architectures (Paperback, Softcover reprint of the original 1st... Invasive Computing for Mapping Parallel Programs to Many-Core Architectures (Paperback, Softcover reprint of the original 1st ed. 2018)
Andreas Weichslgartner, Stefan Wildermann, Michael Glass, Jurgen Teich
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book provides an overview of and essential insights on invasive computing. Pursuing a comprehensive approach, it addresses proper concepts, invasive language constructs, and the principles of invasive hardware. The main focus is on the important topic of how to map task-parallel applications to future multi-core architectures including 1,000 or more processor units. A special focus today is the question of how applications can be mapped onto such architectures while not only taking into account functional correctness, but also non-functional execution properties such as execution times and security properties. The book provides extensive experimental evaluations, investigating the benefits of applying invasive computing and hybrid application mapping to give guarantees on non-functional properties such as timing, energy, and security. The techniques in this book are presented in a step-by-step manner, supported by examples and figures. All proposed ideas for providing guarantees on performance, energy consumption, and security are enabled by using the concept of invasive computing and the exclusive usage of resources.

Simulation and Optimization of Digital Circuits - Considering and Mitigating Destabilizing Factors (Paperback, Softcover... Simulation and Optimization of Digital Circuits - Considering and Mitigating Destabilizing Factors (Paperback, Softcover reprint of the original 1st ed. 2018)
Vazgen Melikyan
R3,746 Discovery Miles 37 460 Ships in 10 - 15 working days

This book describes new, fuzzy logic-based mathematical apparatus, which enable readers to work with continuous variables, while implementing whole circuit simulations with speed, similar to gate-level simulators and accuracy, similar to circuit-level simulators. The author demonstrates newly developed principles of digital integrated circuit simulation and optimization that take into consideration various external and internal destabilizing factors, influencing the operation of digital ICs. The discussion includes factors including radiation, ambient temperature, electromagnetic fields, and climatic conditions, as well as non-ideality of interconnects and power rails.

Ewa Orlowska on Relational Methods in Logic and Computer Science (Hardcover, 1st ed. 2018): Joanna Golinska-Pilarek, Michal... Ewa Orlowska on Relational Methods in Logic and Computer Science (Hardcover, 1st ed. 2018)
Joanna Golinska-Pilarek, Michal Zawidzki
R4,333 Discovery Miles 43 330 Ships in 10 - 15 working days

This book is a tribute to Professor Ewa Orlowska, a Polish logician who was celebrating the 60th year of her scientific career in 2017. It offers a collection of contributed papers by different authors and covers the most important areas of her research. Prof. Orlowska made significant contributions to many fields of logic, such as proof theory, algebraic methods in logic and knowledge representation, and her work has been published in 3 monographs and over 100 articles in internationally acclaimed journals and conference proceedings. The book also includes Prof. Orlowska's autobiography, bibliography and a trialogue between her and the editors of the volume, as well as contributors' biographical notes, and is suitable for scholars and students of logic who are interested in understanding more about Prof. Orlowska's work.

Product Lifecycle Management to Support Industry 4.0 - 15th IFIP WG 5.1 International Conference, PLM 2018, Turin, Italy, July... Product Lifecycle Management to Support Industry 4.0 - 15th IFIP WG 5.1 International Conference, PLM 2018, Turin, Italy, July 2-4, 2018, Proceedings (Hardcover, 1st ed. 2018)
Paolo Chiabert, Abdelaziz Bouras, Frederic Noel, Jose Rios
R3,157 Discovery Miles 31 570 Ships in 10 - 15 working days

This book constitutes the refereed post-conference proceedings of the 15th IFIP WG 5.1 International Conference on Product Lifecycle Management, PLM 2018, held in Turin, Spain, in July 2018. The 72 revised full papers presented were carefully reviewed and selected from 82 submissions. The papers are organized in the following topical sections: building information modeling; collaborative environments and new product development; PLM for digital factories and cyber physical systems; ontologies and data models; education in the field of industry 4.0; product-service systems and smart products; lean organization for industry 4.0; knowledge management and information sharing; PLM infrastructure and implementation; PLM maturity, implementation and adoption; 3D printing and additive manufacturing; and modular design and products and configuration and change management.

Advanced Multicore Systems-On-Chip - Architecture, On-Chip Network, Design (Paperback, Softcover reprint of the original 1st... Advanced Multicore Systems-On-Chip - Architecture, On-Chip Network, Design (Paperback, Softcover reprint of the original 1st ed. 2017)
Abderazek Ben Abdallah
R3,468 Discovery Miles 34 680 Ships in 10 - 15 working days

From basic architecture, interconnection, and parallelization to power optimization, this book provides a comprehensive description of emerging multicore systems-on-chip (MCSoCs) hardware and software design. Highlighting both fundamentals and advanced software and hardware design, it can serve as a primary textbook for advanced courses in MCSoCs design and embedded systems. The first three chapters introduce MCSoCs architectures, present design challenges and conventional design methods, and describe in detail the main building blocks of MCSoCs. Chapters 4, 5, and 6 discuss fundamental and advanced on-chip interconnection network technologies for multi and many core SoCs, enabling readers to understand the microarchitectures for on-chip routers and network interfaces that are essential in the context of latency, area, and power constraints. With the rise of multicore and many-core systems, concurrency is becoming a major issue in the daily life of a programmer. Thus, compiler and software development tools are critical in helping programmers create high-performance software. Programmers should make sure that their parallelized program codes will not cause race condition, memory-access deadlocks, or other faults that may crash their entire systems. As such, Chapter 7 describes a novel parallelizing compiler design for high-performance computing. Chapter 8 provides a detailed investigation of power reduction techniques for MCSoCs at component and network levels. It discusses energy conservation in general hardware design, and also in embedded multicore system components, such as CPUs, disks, displays and memories. Lastly, Chapter 9 presents a real embedded MCSoCs system design targeted for health monitoring in the elderly.

Algorithms and Architectures for Parallel Processing - 18th International Conference, ICA3PP 2018, Guangzhou, China, November... Algorithms and Architectures for Parallel Processing - 18th International Conference, ICA3PP 2018, Guangzhou, China, November 15-17, 2018, Proceedings, Part III (Paperback, 1st ed. 2018)
Jaideep Vaidya, Jin Li
R1,683 Discovery Miles 16 830 Ships in 10 - 15 working days

The four-volume set LNCS 11334-11337 constitutes the proceedings of the 18th International Conference on Algorithms and Architectures for Parallel Processing, ICA3PP 2018, held in Guangzhou, China, in November 2018. The 141 full and 50 short papers presented were carefully reviewed and selected from numerous submissions. The papers are organized in topical sections on Distributed and Parallel Computing; High Performance Computing; Big Data and Information Processing; Internet of Things and Cloud Computing; and Security and Privacy in Computing.

Simple Statistical Methods for Software Engineering - Data and Patterns (Paperback): C. Ravindranath Pandian, Murali  Kumar Simple Statistical Methods for Software Engineering - Data and Patterns (Paperback)
C. Ravindranath Pandian, Murali Kumar
R1,822 Discovery Miles 18 220 Ships in 12 - 17 working days

Although there are countless books on statistics, few are dedicated to the application of statistical methods to software engineering. Simple Statistical Methods for Software Engineering: Data and Patterns fills that void. Instead of delving into overly complex statistics, the book details simpler solutions that are just as effective and connect with the intuition of problem solvers. Sharing valuable insights into software engineering problems and solutions, the book not only explains the required statistical methods, but also provides many examples, review questions, and case studies that provide the understanding required to apply those methods to real-world problems. After reading this book, practitioners will possess the confidence and understanding to solve day-to-day problems in quality, measurement, performance, and benchmarking. By following the examples and case studies, students will be better prepared able to achieve seamless transition from academic study to industry practices. Includes boxed stories, case studies, and illustrations that demonstrate the nuances behind proper application Supplies historical anecdotes and traces statistical methods to inventors and gurus Applies basic statistical laws in their simplest forms to resolve engineering problems Provides simple techniques for addressing the issues software engineers face The book starts off by reviewing the essential facts about data. Next, it supplies a detailed review and summary of metrics, including development, maintenance, test, and agile metrics. The third section covers the fundamental laws of probability and statistics and the final section presents special data patterns in the form of tailed mathematical distributions. In addition to selecting simpler and more flexible tools, the authors have also simplified several standard techniques to provide you with the set of intellectual tools al

MDM - Fundamentals, Security and the Modern Desktop - Using Intune, Autopilot and Azure to Manage, Deploy and Secure Windows 10... MDM - Fundamentals, Security and the Modern Desktop - Using Intune, Autopilot and Azure to Manage, Deploy and Secure Windows 10 (Paperback)
J Moskowitz
R1,187 R896 Discovery Miles 8 960 Save R291 (25%) Ships in 12 - 17 working days

The first major book on MDM written by Group Policy and Enterprise Mobility MVP and renowned expert, Jeremy Moskowitz! With Windows 10, organizations can create a consistent set of configurations across the modern enterprise desktop--for PCs, tablets, and phones--through the common Mobile Device Management (MDM) layer. MDM gives organizations a way to configure settings that achieve their administrative intent without exposing every possible setting. One benefit of MDM is that it enables organizations to apply broader privacy, security, and application management settings through lighter and more efficient tools. MDM also allows organizations to target Internet-connected devices to manage policies without using Group Policy (GP) that requires on-premises domain-joined devices. This makes MDM the best choice for devices that are constantly on the go. With Microsoft making this shift to using Mobile Device Management (MDM), a cloud-based policy-management system, IT professionals need to know how to do similar tasks they do with Group Policy, but now using MDM, with its differences and pitfalls. What is MDM (and how is it different than GP) Setup Azure AD and MDM Auto-Enrollment New PC Rollouts and Remote Refreshes: Autopilot and Configuration Designer Enterprise State Roaming and OneDrive Documents Roaming Renowned expert and Microsoft Group Policy and Enterprise Mobility MVP Jeremy Moskowitz teaches you MDM fundamentals, essential troubleshooting techniques, and how to manage your enterprise desktops.

Beginning ARKit for iPhone and iPad - Augmented Reality App Development for iOS (Paperback, 1st ed.): Wallace Wang Beginning ARKit for iPhone and iPad - Augmented Reality App Development for iOS (Paperback, 1st ed.)
Wallace Wang
R2,049 R1,580 Discovery Miles 15 800 Save R469 (23%) Ships in 10 - 15 working days

Explore how to use ARKit to create iOS apps and learn the basics of augmented reality while diving into ARKit specific topics. This book reveals how augmented reality allows you to view the screen on an iOS device, aim the camera at a nearby scene, and view both the real items in that scene as well as a graphic image overlaid on to that scene. You'll start by accessing the camera and teaching your app to track the world around its device. You'll then see how to position nodes and create augmented reality shapes and textures. Next you'll have your creations interact with their environment by programming workable physics, detecting planes, measuring distance, and applying virtual force. Finally you'll learn how to hit test and troubleshoot your applications to ensure they interact with the real world around them seamlessly. ARKit is Apple's software framework for creating augmented reality apps on iOS devices such as the iPhone and iPad. Unlike virtual reality that creates an entirely artificial world for the user to view and explore, Beginning ARKit for iPhone and iPad will show you how augmented reality places artificial items in an actual scene displayed by an iOS device's camera. What You'll Learn Access the camera Use ARKit's hit testing for tracked geometry Apply and combine real world and virtual physics Who This Book Is For Programmers familiar with the basics of Swift programming who want to dive into developing iOS applications with Swift.

Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms - A Cross-layer Approach... Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms - A Cross-layer Approach (Hardcover, 1st ed. 2019)
William Fornaciari, Dimitrios Soudris
R3,003 Discovery Miles 30 030 Ships in 10 - 15 working days

This book describes the state-of-the art of industrial and academic research in the architectural design of heterogeneous, multi/many-core processors. The authors describe methods and tools to enable next-generation embedded and high-performance heterogeneous processors to confront cost-effectively the inevitable variations by providing Dependable-Performance: correct functionality and timing guarantees throughout the expected lifetime of a platform under thermal, power, and energy constraints. Various aspects of the reliability problem are discussed, at both the circuit and architecture level, the intelligent selection of knobs and monitors in multicore platforms, and systematic design methodologies. The authors demonstrate how new techniques have been applied in real case studies from different applications domain and report on results and conclusions of those experiments. Enables readers to develop performance-dependable heterogeneous multi/many-core architectures Describes system software designs that support high performance dependability requirements Discusses and analyzes low level methodologies to tradeoff conflicting metrics, i.e. power, performance, reliability and thermal management Includes new application design guidelines to improve performance dependability

Build Mobile Apps with Ionic 4 and Firebase - Hybrid Mobile App Development (Paperback, 2nd ed.): Fu Cheng Build Mobile Apps with Ionic 4 and Firebase - Hybrid Mobile App Development (Paperback, 2nd ed.)
Fu Cheng
R1,800 R1,398 Discovery Miles 13 980 Save R402 (22%) Ships in 10 - 15 working days

Leverage your existing web development skills to learn the whole cycle of hybrid mobile app development. This edition is fully updated with the changes in Ionic 4, including Stencil, a new framework based on the web components standard. It explains Ionic and Firebase in detail, including how to create hybrid mobile apps using using React and Vue, and run those apps in an internal browser using a wrapper created by Apache Cordova. Build Mobile Apps with Ionic 4 and Firebase shows you how to focus on developing front-end code, without needing to manage any back-end code or servers. You'll learn in the context of building a Hacker News client app, which can view top stories in Hacker News, view comments of a story, and add stories to favorites. Explore how Ionic 4 uses Angular as the JavaScript framework to easily develop apps using an interface similar to native apps, and how to access Firebase, a real-time database, in web apps using JavaScript. What You'll Learn Create content-based Ionic mobile apps Work with new Ionic 4 compnents like gesture, text, and keyboard controller Manage your apps with RxJS & Redux Who This Book Is For Front-end developers and mobile app developers

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Essential Windows 10: 1st Anniversary…
Kevin Wilson Paperback R468 R349 Discovery Miles 3 490
BTEC Nationals Information Technology…
Jenny Phillips, Alan Jarvis, … Paperback R1,056 Discovery Miles 10 560
Enterprise Level Security 1 & 2
Kevin Foltz, William R. Simpson Paperback R1,421 Discovery Miles 14 210
CompTIA A+ Certification All-in-One Exam…
Mike Meyers, Travis Everett, … Hardcover R1,347 Discovery Miles 13 470
Laptops For Dummies, 7th Edition
D. Gookin Paperback R633 Discovery Miles 6 330
iPad For Seniors For Dummies
Spivey Paperback R727 R522 Discovery Miles 5 220
Designing Switch/Routers - Fundamental…
James Aweya Paperback R3,845 Discovery Miles 38 450
iPhone For Seniors For Dummies 2022…
D Spivey Paperback R587 Discovery Miles 5 870
Windows 11 For Seniors For Dummies
C. Simmons Paperback R517 Discovery Miles 5 170
Intelligent IT Outsourcing
Sara Cullen, Leslie Willcocks Paperback R1,865 Discovery Miles 18 650

 

Partners