0
Your cart

Your cart is empty

Browse All Departments
  • All Departments
Price
  • R1,000 - R2,500 (5)
  • R2,500 - R5,000 (12)
  • R5,000 - R10,000 (2)
  • -
Status
Brand

Showing 1 - 19 of 19 matches in All Departments

CyberPhysical Systems - Decision Making Mechanisms and Applications (Hardcover): Kostas Siozios, Dimitrios Soudris, Elias... CyberPhysical Systems - Decision Making Mechanisms and Applications (Hardcover)
Kostas Siozios, Dimitrios Soudris, Elias Kosmatopoulos
R2,412 Discovery Miles 24 120 Ships in 12 - 17 working days

As systems continue to evolve they rely less on human decision-making and more on computational intelligence. This trend in conjunction with the available technologies for providing advanced sensing, measurement, process control, and communication lead towards the new field of the CyberPhysical System (CPS). CyberPhysical systems are expected to play a major role in the design and development of future engineering platforms with new capabilities that far exceed today's levels of autonomy, functionality and usability. Although these systems exhibit remarkable characteristics, their design and implementation is a challenging issue, as numerous (heterogeneous) components and services have to be appropriately modeled and simulated together. The problem of designing efficient CPS becomes far more challenging in case the target system has to meet also real-time constraints. CyberPhysical Systems: Decision Making Mechanisms and Applications describes essential theory, recent research and large-scale user cases that addresses urgent challenges in CPS architectures. In particular, it includes chapters on: * Decision making for large scale CPS * Modeling of CPS with emphasis at the control mechanisms * Hardware/software implementation of the control mechanisms * Fault-tolerant and reliability issues for the control mechanisms * CyberPhysical user-cases that incorporate challenging decision making

Dynamic Memory Management for Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2015): David Atienza... Dynamic Memory Management for Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2015)
David Atienza Alonso, Stylianos Mamagkakis, Christophe Poucet, Miguel Peon Quiros, Alexandros Bartzas, …
R2,306 Discovery Miles 23 060 Ships in 10 - 15 working days

This book provides a systematic and unified methodology, including basic principles and reusable processes, for dynamic memory management (DMM) in embedded systems. The authors describe in detail how to design and optimize the use of dynamic memory in modern, multimedia and network applications, targeting the latest generation of portable embedded systems, such as smartphones. Coverage includes a variety of design and optimization topics in electronic design automation of DMM, from high-level software optimization to microarchitecture-level hardware support. The authors describe the design of multi-layer dynamic data structures for the final memory hierarchy layers of the target portable embedded systems and how to create a low-fragmentation, cost-efficient, dynamic memory management subsystem out of configurable components for the particular memory allocation and de-allocation patterns for each type of application. The design methodology described in this book is based on propagating constraints among design decisions from multiple abstraction levels (both hardware and software) and customizing DMM according to application-specific data access and storage behaviors.

IoT for Smart Grids - Design Challenges and Paradigms (Hardcover, 1st ed. 2019): Kostas Siozios, Dimitrios Anagnostos,... IoT for Smart Grids - Design Challenges and Paradigms (Hardcover, 1st ed. 2019)
Kostas Siozios, Dimitrios Anagnostos, Dimitrios Soudris, Elias Kosmatopoulos
R3,756 Discovery Miles 37 560 Ships in 10 - 15 working days

This book explains the fundamentals of control theory for Internet of Things (IoT) systems and smart grids and its applications. It discusses the challenges imposed by large-scale systems, and describes the current and future trends and challenges in decision-making for IoT in detail, showing the ongoing industrial and academic research in the field of smart grid domain applications. It presents step-by-step design guidelines for the modeling, design, customisation and calibration of IoT systems applied to smart grids, in which the challenges increase with each system's increasing complexity. It also provides solutions and detailed examples to demonstrate how to use the techniques to overcome these challenges, as well as other problems related to decision-making for successful implementation. Further, it anaylses the features of decision-making, such as low-complexity and fault-tolerance, and uses open-source and publicly available software tools to show readers how they can design, implement and customise their own system control instantiations. This book is a valuable resource for power engineers and researchers, as it addresses the analysis and design of flexible decision-making mechanisms for smart grids. It is also of interest to students on courses related to control of large-scale systems, since it covers the use of state-of-the-art technology with examples and solutions in every chapter. And last but not least, it offers practical advice for professionals working with smart grids.

Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms - A Cross-layer Approach... Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms - A Cross-layer Approach (Hardcover, 1st ed. 2019)
William Fornaciari, Dimitrios Soudris
R3,003 Discovery Miles 30 030 Ships in 10 - 15 working days

This book describes the state-of-the art of industrial and academic research in the architectural design of heterogeneous, multi/many-core processors. The authors describe methods and tools to enable next-generation embedded and high-performance heterogeneous processors to confront cost-effectively the inevitable variations by providing Dependable-Performance: correct functionality and timing guarantees throughout the expected lifetime of a platform under thermal, power, and energy constraints. Various aspects of the reliability problem are discussed, at both the circuit and architecture level, the intelligent selection of knobs and monitors in multicore platforms, and systematic design methodologies. The authors demonstrate how new techniques have been applied in real case studies from different applications domain and report on results and conclusions of those experiments. Enables readers to develop performance-dependable heterogeneous multi/many-core architectures Describes system software designs that support high performance dependability requirements Discusses and analyzes low level methodologies to tradeoff conflicting metrics, i.e. power, performance, reliability and thermal management Includes new application design guidelines to improve performance dependability

Hardware Accelerators in Data Centers (Hardcover, 1st ed. 2019): Christoforos Kachris, Babak Falsafi, Dimitrios Soudris Hardware Accelerators in Data Centers (Hardcover, 1st ed. 2019)
Christoforos Kachris, Babak Falsafi, Dimitrios Soudris
R4,262 Discovery Miles 42 620 Ships in 10 - 15 working days

This book provides readers with an overview of the architectures, programming frameworks, and hardware accelerators for typical cloud computing applications in data centers. The authors present the most recent and promising solutions, using hardware accelerators to provide high throughput, reduced latency and higher energy efficiency compared to current servers based on commodity processors. Readers will benefit from state-of-the-art information regarding application requirements in contemporary data centers, computational complexity of typical tasks in cloud computing, and a programming framework for the efficient utilization of the hardware accelerators.

Designing 2D and 3D Network-on-Chip Architectures (Paperback, Softcover reprint of the original 1st ed. 2014): Konstantinos... Designing 2D and 3D Network-on-Chip Architectures (Paperback, Softcover reprint of the original 1st ed. 2014)
Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Axel Jantsch
R4,023 Discovery Miles 40 230 Ships in 10 - 15 working days

This book covers key concepts in the design of 2D and 3D Network-on-Chip interconnect. It highlights design challenges and discusses fundamentals of NoC technology, including architectures, algorithms and tools. Coverage focuses on topology exploration for both 2D and 3D NoCs, routing algorithms, NoC router design, NoC-based system integration, verification and testing, and NoC reliability. Case studies are used to illuminate new design methodologies.

Trusted Computing for Embedded Systems (Hardcover, 2015 ed.): Bernard Candaele, Dimitrios Soudris, Iraklis Anagnostopoulos Trusted Computing for Embedded Systems (Hardcover, 2015 ed.)
Bernard Candaele, Dimitrios Soudris, Iraklis Anagnostopoulos
R4,292 Discovery Miles 42 920 Ships in 10 - 15 working days

This book describes the state-of-the-art in trusted computing for embedded systems. It shows how a variety of security and trusted computing problems are addressed currently and what solutions are expected to emerge in the coming years. The discussion focuses on attacks aimed at hardware and software for embedded systems, and the authors describe specific solutions to create security features. Case studies are used to present new techniques designed as industrial security solutions. Coverage includes development of tamper resistant hardware and firmware mechanisms for lightweight embedded devices, as well as those serving as security anchors for embedded platforms required by applications such as smart power grids, smart networked and home appliances, environmental and infrastructure sensor networks, etc. * Enables readers to address a variety of security threats to embedded hardware and software; * Describes design of secure wireless sensor networks, to address secure authentication of trusted portable devices for embedded systems; * Presents secure solutions for the design of smart-grid applications and their deployment in large-scale networked and systems.

Dynamic Memory Management for Embedded Systems (Hardcover, 2015 ed.): David Atienza Alonso, Stylianos Mamagkakis, Christophe... Dynamic Memory Management for Embedded Systems (Hardcover, 2015 ed.)
David Atienza Alonso, Stylianos Mamagkakis, Christophe Poucet, Miguel Peon Quiros, Alexandros Bartzas, …
R2,553 Discovery Miles 25 530 Ships in 10 - 15 working days

This book provides a systematic and unified methodology, including basic principles and reusable processes, for dynamic memory management (DMM) in embedded systems. The authors describe in detail how to design and optimize the use of dynamic memory in modern, multimedia and network applications, targeting the latest generation of portable embedded systems, such as smartphones. Coverage includes a variety of design and optimization topics in electronic design automation of DMM, from high-level software optimization to microarchitecture-level hardware support. The authors describe the design of multi-layer dynamic data structures for the final memory hierarchy layers of the target portable embedded systems and how to create a low-fragmentation, cost-efficient, dynamic memory management subsystem out of configurable components for the particular memory allocation and de-allocation patterns for each type of application. The design methodology described in this book is based on propagating constraints among design decisions from multiple abstraction levels (both hardware and software) and customizing DMM according to application-specific data access and storage behaviors.

Fine- and Coarse-Grain Reconfigurable Computing (Paperback, 2007 ed.): Stamatis Vassiliadis Fine- and Coarse-Grain Reconfigurable Computing (Paperback, 2007 ed.)
Stamatis Vassiliadis; Foreword by Y. Patt, J. Smith; Edited by Dimitrios Soudris; Foreword by M. Valero
R2,991 Discovery Miles 29 910 Ships in 10 - 15 working days

The basic concepts and building blocks for the design of Fine- (or FPGA) and Coarse-Grain Reconfigurable Architectures are discussed in this book. Recently-developed integrated architecture design and software-supported design flow of FPGA and coarse-grain reconfigurable architecture are also described.

Designing 2D and 3D Network-on-Chip Architectures (Hardcover, 2014 ed.): Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris,... Designing 2D and 3D Network-on-Chip Architectures (Hardcover, 2014 ed.)
Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Axel Jantsch
R4,016 Discovery Miles 40 160 Ships in 10 - 15 working days

This book covers key concepts in the design of 2D and 3D Network-on-Chip interconnect. It highlights design challenges and discusses fundamentals of NoC technology, including architectures, algorithms and tools. Coverage focuses on topology exploration for both 2D and 3D NoCs, routing algorithms, NoC router design, NoC-based system integration, verification and testing, and NoC reliability. Case studies are used to illuminate new design methodologies.

Scalable Multi-core Architectures - Design Methodologies and Tools (Hardcover, 2012): Dimitrios Soudris, Axel Jantsch Scalable Multi-core Architectures - Design Methodologies and Tools (Hardcover, 2012)
Dimitrios Soudris, Axel Jantsch
R2,973 Discovery Miles 29 730 Ships in 10 - 15 working days

As Moore 's law continues to unfold, two important trends have recently emerged. First, the growth of chip capacity is translated into a corresponding increase of number of cores. Second, the parallelization of the computation and 3D integration technologies lead to distributed memory architectures.This book describes recent research that addresses urgent challenges in many-core architectures and application mapping. It addresses the architectural design of many core chips, memory and data management, power management, design and programming methodologies. It also describes how new techniques have been applied in various industrial case studies.

Designing CMOS Circuits for Low Power (Paperback, Softcover reprint of hardcover 1st ed. 2002): Dimitrios Soudris, Christian... Designing CMOS Circuits for Low Power (Paperback, Softcover reprint of hardcover 1st ed. 2002)
Dimitrios Soudris, Christian Piguet, Costas Goutis
R6,531 Discovery Miles 65 310 Ships in 10 - 15 working days

This book is the fourth in a series on novel low power design architectures, methods and design practices. It results from of a large European project started in 1997, whose goal is to promote the further development and the faster and wider industrial use of advanced design methods for reducing the power con sumption of electronic systems. Low power design became crucial with the wide spread of portable infor mation and communication terminals, where a small battery has to last for a long period. High performance electronics, in addition, suffers from a per manent increase of the dissipated power per square millimeter of silicon, due to the increasing clock-rates, which causes cooling and reliability problems or otherwise limits the performance. The European Union's Information Technologies Programme 'Esprit' did therefore launch a 'Pilot action for Low Power Design', which eventually grew to 19 R&D projects and one coordination project, with an overall budget of 14 million EURO. It is meanwhile known as European Low Power Initiative for Electronic System Design (ESD-LPD) and will be completed in the year 2002. It involves to develop or demonstrate new design methods for power reduction, while the coordination project takes care that the methods, experiences and results are properly documented and publicised."

VLSI-SoC: Design Methodologies for SoC and SiP - 16th IFIP WG 10.5/IEEE International Conference on Very Large Scale... VLSI-SoC: Design Methodologies for SoC and SiP - 16th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2008, Rhodes Island, Greece, October 13-15, 2008, Revised Selected Papers (Hardcover, Edition.)
Christian Piguet, Ricardo Reis, Dimitrios Soudris
R1,593 Discovery Miles 15 930 Ships in 10 - 15 working days

This book contains extended and revised versions of the best papers that were p- sented during the 16th edition of the IFIP/IEEE WG10.5 International Conference on Very Large Scale Integration, a global System-on-a-Chip Design & CAD conference. The 16th conference was held at the Grand Hotel of Rhodes Island, Greece (October 13-15, 2008). Previous conferences have taken place in Edinburgh, Trondheim, V- couver, Munich, Grenoble, Tokyo, Gramado, Lisbon, Montpellier, Darmstadt, Perth, Nice and Atlanta. VLSI-SoC 2008 was the 16th in a series of international conferences sponsored by IFIP TC 10 Working Group 10.5 and IEEE CEDA that explores the state of the art and the new developments in the field of VLSI systems and their designs. The purpose of the conference was to provide a forum to exchange ideas and to present industrial and research results in the fields of VLSI/ULSI systems, embedded systems and - croelectronic design and test.

Fine- and Coarse-Grain Reconfigurable Computing (Hardcover, 2007 ed.): Stamatis Vassiliadis Fine- and Coarse-Grain Reconfigurable Computing (Hardcover, 2007 ed.)
Stamatis Vassiliadis; Foreword by Y. Patt, J. Smith; Edited by Dimitrios Soudris; Foreword by M. Valero
R3,023 Discovery Miles 30 230 Ships in 10 - 15 working days

The basic concepts and building blocks for the design of Fine- (or FPGA) and Coarse-Grain Reconfigurable Architectures are discussed in this book. Recently-developed integrated architecture design and software-supported design flow of FPGA and coarse-grain reconfigurable architecture are also described. The book is accompanied by an interactive CD which includes case studies and lab projects for the design of FPGA and Coarse-grain architectures.

Designing CMOS Circuits for Low Power (Hardcover, 2002 ed.): Dimitrios Soudris, Christian Piguet, Costas Goutis Designing CMOS Circuits for Low Power (Hardcover, 2002 ed.)
Dimitrios Soudris, Christian Piguet, Costas Goutis
R6,716 Discovery Miles 67 160 Ships in 10 - 15 working days

This book is the fourth in a series on novel low power design architectures, methods and design practices. It results from of a large European project started in 1997, whose goal is to promote the further development and the faster and wider industrial use of advanced design methods for reducing the power con sumption of electronic systems. Low power design became crucial with the wide spread of portable infor mation and communication terminals, where a small battery has to last for a long period. High performance electronics, in addition, suffers from a per manent increase of the dissipated power per square millimeter of silicon, due to the increasing clock-rates, which causes cooling and reliability problems or otherwise limits the performance. The European Union's Information Technologies Programme 'Esprit' did therefore launch a 'Pilot action for Low Power Design', which eventually grew to 19 R&D projects and one coordination project, with an overall budget of 14 million EURO. It is meanwhile known as European Low Power Initiative for Electronic System Design (ESD-LPD) and will be completed in the year 2002. It involves to develop or demonstrate new design methods for power reduction, while the coordination project takes care that the methods, experiences and results are properly documented and publicised."

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation - 10th International Workshop, PATMOS 2000,... Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation - 10th International Workshop, PATMOS 2000, Goettingen, Germany, September 13-15, 2000 Proceedings (Paperback, 2000 ed.)
Dimitrios Soudris, Peter Pirsch, Erich Barke
R1,694 Discovery Miles 16 940 Ships in 10 - 15 working days

Thisworkshopisthetenthinaseriesofinternationalworkshops. Thisyear ittakesplaceinG..ottingen,Germany,andisorganizedbytheUniversityof Hannover. G..ottingenhasonethemostfamousGermanuniversities,whereverywell knownscientistslikeLichtenberg,Hilbert,GaussandvonNeumannstudied, workedandtaught. ItalsohostsseveralresearchinstitutesoftheMax-Planck- Society. The?rstelectronictubecalculatorG1wasbuiltinG..ottingenin1952 byH. Billing. Additionally,G..ottingenwasselectedbecauseitisadjacenttothe worldexpositionEXPO2000inHannoverwhichgivesanoutlookintothe21st centurycoveringthemajortopicsofhumankind,natureandtechnology. WithrespecttotheseinspiringsurroundingsthetechnicalprogramofPAT- MOS2000includes10sessionsdedicatedtomostimportantsubjectsofpower andtimingmodeling,optimizationandsimulationatthedawnofthe21stc- tury. ThefourinvitedtalksaddresstheEuropeanresearchactivitiesinthewo- shop?elds,theevolvingneedsforminimalpowerconsumptionintheareaof wirelessandchipcardapplicationsanddesignmethodologiesofveryhighly- tegratedmultimediaprocessors. Theworkshopisaresultofthejointworkofalargenumberofindividuals, whocannotallbementionedhere. Inparticular,wewouldliketoacknowledge theoutstandingworkofthereviewers,whodidacompetentjobinatimely manner. Wealsohavetothankthemembersofthelocalorganizingcommittee fortheire?ortinenablingtheconferencetorunsmoothly. Finally,wegratefully acknowledgethesupportofallorganizationsandinstitutionssponsoringthe conference. September2000 PeterPirsch ErichBarke DimitriosSoudris Organization OrganizationCommitee GeneralCoChairs: PeterPirsch(UniversityofHannover,Germany) ErichBarke(UniversityofHannover,Germany) ProgramChair: DimitriosSoudris (DemocritusUniversityofThrace,Greece) FinanceChair: LarsHedrich(UniversityofHannover,Germany) PublicationChair: AchimFreimann (UniversityofHannover,Germany) Audio-VisualChair: J..orgAbke(UniversityofHannover,Germany) LocalArrangementsChair: CarstenReuter(UniversityofHannover,Germany) ProgramCommitee D. Auvergne(UniversityofMontpellier,France) J. Bormans(IMEC,Belgium) J. Figueras(UniversityofCatalunya,Spain) C. E. Goutis(UniversityofPatras,Greece) A. Guyot(INPGGrenoble,France) R. Hartenstein(UniversityofKaiserslautern,Germany) S. Jones(UniversityofLoughborough,UnitedKingdom) P. Larsson-Edefors(UniversityofLink..oping,Sweden) E. Macii(PolytechnicofTorino,Italy) V. Moshnyaga(UniversityofFukuoka,Japan) W. Nebel(UniversityofOldenburg,Germany) J. A. Nossek(TechnicalUniversityofMunc .. hen,Germany) A. Nunez(UniversityofLasPalmas,Spain) M. Papaefthymiou(UniversityofMichigan,UnitedStates) M. Pedram(UniversityofSouthernCalifornia,UnitedStates) H. P?eiderer(UniversityofUlm,Germany) C. Piguet(CSEM,Switzerland) R. Reis(UniversityofPortoAlegre,Brazil) M. Robert(UniversityofMontpellier,France) A. Rubio(UniversityofCatalunya,Spain) J. Sparso(TechnicalUniversityofDenmark,Denmark) A. Stempkowsky(AcademyofSciences,Russia) T. Stouraitis(UniversityofPatras,Greece) J. F. M. Theeuwen(Philips,TheNetherlands) A. -M. Trullemans-Anckaert(UniversityofLouvain,Belgium) R. Zafalon(STMicroelectronics,Italy) VIII Organization SteeringCommitee D. Auvergne(UniversityofMontpellier,France) R. Hartenstein(UniversityofKaiserslautern,Germany) W. Nebel(UniversityofOldenburg,Germany) C. Piguet(CSEM,Switzerland) A. Rubio(UniversityofCatalunya,Spain) J. Sparso(TechnicalUniversityofDenmark,Denmark) A. -M. Trullemans-Anckaert(UniversityofLouvain,Belgium) SponsoringInstitutions EuropeanCommissionDirectorate-GeneralInformationSociety IEEECircuitsandSystemsSociety TableofContents Opening Constraints, Hurdles, and Opportunities for a Successful European Take-Up Action ...1 R. vanLeuken,R. Nouta,A. deGraf(DelftUniversityofTechnology, TheNetherlands) RTL Power Modeling Architectural Design Space Exploration Achieved through Innovative RTL Power Estimation Techniques...3 M. Anton,M. Chinosi,D. Sirtori,R. Zafalon(STMicroelectronics, Italy) Power Models for Semi-autonomous RTL Macros ...14 A. Bogliolo(UniversityofFerrara,Italy) E. Macii,V. Mihailovici,M. Poncino(PolytechnicalUniversityofTorino, Italy) Power Macro-Modelling for Firm-Macro ...24 G. Jochens,L. Kruse,E. Schmidt,A. Stammermann,W. Nebel (OFFISResearchInstitute,Oldenburg,Germany) RTL Estimation of Steering Logic Power...36 C. Anton,P. Civera,I. Colonescu,E. Macii,M. Poncino (PolytechnicalUniversityofTorino,Italy) A. Bogliolo(UniversityofFerrara,Italy) PowerEstimationandOptimization Reducing Power Consumption through Dynamic Frequency Scaling for a Class of Digital Receivers ...47 N. D. Zervas,S. Theoharis,A. P. Kakaroudas,G. Theodoridis, C. E. Goutis(UniversityofPatras,Greece) D.

Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms - A Cross-layer Approach... Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms - A Cross-layer Approach (Paperback, Softcover reprint of the original 1st ed. 2019)
William Fornaciari, Dimitrios Soudris
R2,970 Discovery Miles 29 700 Ships in 10 - 15 working days

This book describes the state-of-the art of industrial and academic research in the architectural design of heterogeneous, multi/many-core processors. The authors describe methods and tools to enable next-generation embedded and high-performance heterogeneous processors to confront cost-effectively the inevitable variations by providing Dependable-Performance: correct functionality and timing guarantees throughout the expected lifetime of a platform under thermal, power, and energy constraints. Various aspects of the reliability problem are discussed, at both the circuit and architecture level, the intelligent selection of knobs and monitors in multicore platforms, and systematic design methodologies. The authors demonstrate how new techniques have been applied in real case studies from different applications domain and report on results and conclusions of those experiments. Enables readers to develop performance-dependable heterogeneous multi/many-core architectures Describes system software designs that support high performance dependability requirements Discusses and analyzes low level methodologies to tradeoff conflicting metrics, i.e. power, performance, reliability and thermal management Includes new application design guidelines to improve performance dependability

Three Dimensional System Integration - IC Stacking Process and Design (Hardcover, 2011 ed.): Antonis Papanikolaou, Dimitrios... Three Dimensional System Integration - IC Stacking Process and Design (Hardcover, 2011 ed.)
Antonis Papanikolaou, Dimitrios Soudris, Riko Radojcic
R1,713 Discovery Miles 17 130 Ships in 10 - 15 working days

Three-dimensional (3D) integrated circuit (IC) stacking is the next big step in electronic system integration. It enables packing more functionality, as well as integration of heterogeneous materials, devices, and signals, in the same space (volume). This results in consumer electronics (e.g., mobile, handheld devices) which can run more powerful applications, such as full-length movies and 3D games, with longer battery life. This technology is so promising that it is expected to be a mainstream technology a few years from now, less than 10-15 years from its original conception. To achieve this type of end product, changes in the entire manufacturing and design process of electronic systems are taking place. This book provides readers with an accessible tutorial on a broad range of topics essential to the non-expert in 3D System Integration. It is an invaluable resource for anybody in need of an overview of the 3D manufacturing and design chain.

Applied Reconfigurable Computing - 11th International Symposium, ARC 2015, Bochum, Germany, April 13-17, 2015, Proceedings... Applied Reconfigurable Computing - 11th International Symposium, ARC 2015, Bochum, Germany, April 13-17, 2015, Proceedings (Paperback, 2015 ed.)
Kentaro Sano, Dimitrios Soudris, Michael Hubner, Pedro C. Diniz
R3,285 Discovery Miles 32 850 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 11th International Symposium on Applied Reconfigurable Computing, ARC 2015, held in Bochum, Germany, in April 2015. The 23 full papers and 20 short papers presented in this volume were carefully reviewed and selected from 85 submissions. They are organized in topical headings named: architecture and modeling; tools and compilers; systems and applications; network-on-a-chip; cryptography applications; extended abstracts of posters. In addition, the book contains invited papers on funded R&D - running and completed projects and Horizon 2020 funded projects.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Goyeah Dog Snuffle Feeding Mat
R899 R729 Discovery Miles 7 290
Elecstor 18W In-Line UPS (Black)
R999 R869 Discovery Miles 8 690
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Lucky Plastic 3-in-1 Nose Ear Trimmer…
R289 Discovery Miles 2 890
Elastoplus Elastic Adhesive Bandage…
R70 Discovery Miles 700
Home Quip Flexilite Usb Rechargeable…
R199 R91 Discovery Miles 910
Gloria
Sam Smith CD R407 Discovery Miles 4 070
Playseat Evolution Racing Chair (Black)
 (3)
R8,999 Discovery Miles 89 990
Cable Guys Controller and Smartphone…
R399 R359 Discovery Miles 3 590

 

Partners