0
Your cart

Your cart is empty

Browse All Departments
  • All Departments
Price
  • R2,500 - R5,000 (16)
  • -
Status
Brand

Showing 1 - 16 of 16 matches in All Departments

Quadrature Frequency Generation for Wideband Wireless Applications (Hardcover, 2015 ed.): Mohammad Elbadry, Ramesh Harjani Quadrature Frequency Generation for Wideband Wireless Applications (Hardcover, 2015 ed.)
Mohammad Elbadry, Ramesh Harjani
R2,764 Discovery Miles 27 640 Ships in 10 - 15 working days

This book describes design techniques for wideband quadrature LO generation for software defined radio transceivers, with frequencies spanning 4GHz to around 80GHz. The authors discuss several techniques that can be used to reduce the cost and/or power consumption of one of the key component of the RF front-end, the quadrature local oscillator. The discussion includes simple and useful insights into quadrature VCOs, along with numerous examples of practical techniques.

High Performance Multi-Channel High-Speed I/O Circuits (Hardcover, 2014 ed.): Taehyoun Oh, Ramesh Harjani High Performance Multi-Channel High-Speed I/O Circuits (Hardcover, 2014 ed.)
Taehyoun Oh, Ramesh Harjani
R2,763 Discovery Miles 27 630 Ships in 10 - 15 working days

This book describes design techniques that can be used to mitigate crosstalk in high-speed I/O circuits. The focus of the book is in developing compact and low power integrated circuits for crosstalk cancellation, inter-symbol interference (ISI) mitigation and improved bit error rates (BER) at higher speeds. This book is one of the first to discuss in detail the problem of crosstalk and ISI mitigation encountered as data rates have continued beyond 10Gb/s. Readers will learn to avoid the data performance cliff, with circuits and design techniques described for novel, low power crosstalk cancellation methods that are easily combined with current ISI mitigation architectures.

Inverter-Based Circuit Design Techniques for Low Supply Voltages (Hardcover, 1st ed. 2017): Rakesh Kumar Palani, Ramesh Harjani Inverter-Based Circuit Design Techniques for Low Supply Voltages (Hardcover, 1st ed. 2017)
Rakesh Kumar Palani, Ramesh Harjani
R3,689 R2,789 Discovery Miles 27 890 Save R900 (24%) Ships in 12 - 17 working days

This book describes intuitive analog design approaches using digital inverters, providing filter architectures and circuit techniques enabling high performance analog circuit design. The authors provide process, supply voltage and temperature (PVT) variation-tolerant design techniques for inverter based circuits. They also discuss various analog design techniques for lower technology nodes and lower power supply, which can be used for designing high performance systems-on-chip.

Design of Modulators for Oversampled Converters (Hardcover, 1998 ed.): Feng Wang, Ramesh Harjani Design of Modulators for Oversampled Converters (Hardcover, 1998 ed.)
Feng Wang, Ramesh Harjani
R2,882 Discovery Miles 28 820 Ships in 10 - 15 working days

Oversampled A/D converters have become very popular in recent years. Some of their advantages include relaxed requirements for anti-alias filters, relaxed requirements for component matching, high resolution and compatibility with digital VLSI technology. There is a significant amount of literature discussing the principle, theory and implementation of various oversampled converters. Such converters are likely to continue to proliferate in the foreseeable future. Additionally, more recently there has been great interest in low voltage and low power circuit design. New design techniques have been proposed for both the digital domain and the analog domain. Both trends point to the importance of the low-power design of oversampled A/D converters. Unfortunately, there has been no systematic study of the optimal design of modulators for oversampled converters. Design has generally focused on new architectures with little attention being paid to optimization. The goal of Design of Modulators for Oversampled Converters is to develop a methodology for the optimal design of modulators in oversampled converters. The primary focus of the presentation is on minimizing power consumption and understanding and limiting the nonlinearities that result in such converters. Design of Modulators for Oversampled Converters offers a quantitative justification for the various design tradeoffs and serves as a guide for designing low-power highly linear oversampled converters. Design of Modulators for Oversampled Converters will serve as a valuable guide for circuit design practitioners, university researchers and graduate students who are interested in this fast-moving area.

Design of High-Performance CMOS Voltage-Controlled Oscillators (Hardcover, 2003 ed.): Liang Dai, Ramesh Harjani Design of High-Performance CMOS Voltage-Controlled Oscillators (Hardcover, 2003 ed.)
Liang Dai, Ramesh Harjani
R4,331 Discovery Miles 43 310 Ships in 10 - 15 working days

Design of High-Performance CMOS Voltage-Controlled Oscillators presents a phase noise modeling framework for CMOS ring oscillators. The analysis considers both linear and nonlinear operation. It indicates that fast rail-to-rail switching has to be achieved to minimize phase noise. Additionally, in conventional design the flicker noise in the bias circuit can potentially dominate the phase noise at low offset frequencies. Therefore, for narrow bandwidth PLLs, noise up conversion for the bias circuits should be minimized. We define the effective Q factor (Qeff) for ring oscillators and predict its increase for CMOS processes with smaller feature sizes. Our phase noise analysis is validated via simulation and measurement results.
The digital switching noise coupled through the power supply and substrate is usually the dominant source of clock jitter. Improving the supply and substrate noise immunity of a PLL is a challenging job in hostile environments such as a microprocessor chip where millions of digital gates are present.

High-Linearity CMOS RF Front-End Circuits (Hardcover, 2005 ed.): Yongwang Ding, Ramesh Harjani High-Linearity CMOS RF Front-End Circuits (Hardcover, 2005 ed.)
Yongwang Ding, Ramesh Harjani
R2,789 Discovery Miles 27 890 Ships in 10 - 15 working days

This book focuses on high performance radio frequency integrated circuits (RF IC) design in CMOS. 1. Development of radio frequency ICs Wireless communications has been advancing rapidly in the past two decades. Many high performance systems have been developed, such as cellular systems (AMPS, GSM, TDMA, CDMA, W-CDMA, etc. ), GPS system (global po- tioning system) and WLAN (wireless local area network) systems. The rapid growth of VLSI technology in both digital circuits and analog circuits provides benefits for wireless communication systems. Twenty years ago not many p- ple could imagine millions of transistors in a single chip or a complete radio for size of a penny. Now not only complete radios have been put in a single chip, but also more and more functions have been realized by a single chip and at a much lower price. A radio transmits and receives electro-magnetic signals through the air. The signals are usually transmitted on high frequency carriers. For example, a t- ical voice signal requires only 30 Kilohertz bandwidth. When it is transmitted by a FM radio station, it is often carried by a frequency in the range of tens of megahertz to hundreds of megahertz. Usually a radio is categorized by its carrier frequency, such as 900 MHz radio or 5 GHz radio. In general, the higher the carrier frequency, the better the directivity, but the more difficult the radio design.

Cognitive Radio Receiver Front-Ends - RF/Analog Circuit Techniques (Hardcover, 2014 ed.): Bodhisatwa Sadhu, Ramesh Harjani Cognitive Radio Receiver Front-Ends - RF/Analog Circuit Techniques (Hardcover, 2014 ed.)
Bodhisatwa Sadhu, Ramesh Harjani
R2,762 Discovery Miles 27 620 Ships in 10 - 15 working days

This book focuses on the architecture and circuit design for cognitive radio receiver front-ends. The authors first provide a holistic explanation of RF circuits for cognitive radio systems. This is followed by an in-depth exploration of existing techniques that can be utilized by circuit designers. Coverage also includes novel circuit techniques and architectures that can be invaluable for designers for cognitive radio systems.

Design of Low Power Integrated Radios for Emerging Standards (Hardcover, 1st ed. 2020): Mustafijur Rahman, Ramesh Harjani Design of Low Power Integrated Radios for Emerging Standards (Hardcover, 1st ed. 2020)
Mustafijur Rahman, Ramesh Harjani
R3,268 Discovery Miles 32 680 Ships in 10 - 15 working days

This book describes novel and disruptive architecture and circuit design techniques, toward the realization of low-power, standard-compliant radio architectures and silicon implementation of the circuits required for a variety of leading-edge applications. Readers will gain an understanding of the circuit level challenges that exist for low power radios, compatible with the IEEE 802.15.6 standard. The authors discuss current techniques to address some of these challenges, helping readers to understand the state-of-the-art, and to address the various, open research problems that exist with respect to realizing low power radios. Enables readers to face challenging bottleneck in low power radio design, with state-of-the-art, circuit-level design techniques; Provides readers with basic knowledge of circuits suitable for low power radio circuits compatible with the IEEE 802.15.6 standard; Discusses new and emerging architectures and circuit techniques, enabling applications such as body area networks and internet of things.

Inverter-Based Circuit Design Techniques for Low Supply Voltages (Paperback, Softcover reprint of the original 1st ed. 2017):... Inverter-Based Circuit Design Techniques for Low Supply Voltages (Paperback, Softcover reprint of the original 1st ed. 2017)
Rakesh Kumar Palani, Ramesh Harjani
R2,549 Discovery Miles 25 490 Ships in 10 - 15 working days

This book describes intuitive analog design approaches using digital inverters, providing filter architectures and circuit techniques enabling high performance analog circuit design. The authors provide process, supply voltage and temperature (PVT) variation-tolerant design techniques for inverter based circuits. They also discuss various analog design techniques for lower technology nodes and lower power supply, which can be used for designing high performance systems-on-chip.

Quadrature Frequency Generation for Wideband Wireless Applications (Paperback, Softcover reprint of the original 1st ed. 2015):... Quadrature Frequency Generation for Wideband Wireless Applications (Paperback, Softcover reprint of the original 1st ed. 2015)
Mohammad Elbadry, Ramesh Harjani
R3,012 Discovery Miles 30 120 Ships in 10 - 15 working days

This book describes design techniques for wideband quadrature LO generation for software defined radio transceivers, with frequencies spanning 4GHz to around 80GHz. The authors discuss several techniques that can be used to reduce the cost and/or power consumption of one of the key component of the RF front-end, the quadrature local oscillator.  The discussion includes simple and useful insights into quadrature VCOs, along with numerous examples of practical techniques.

High Performance Multi-Channel High-Speed I/O Circuits (Paperback, Softcover reprint of the original 1st ed. 2014): Taehyoun... High Performance Multi-Channel High-Speed I/O Circuits (Paperback, Softcover reprint of the original 1st ed. 2014)
Taehyoun Oh, Ramesh Harjani
R2,744 Discovery Miles 27 440 Ships in 10 - 15 working days

This book describes design techniques that can be used to mitigate crosstalk in high-speed I/O circuits. The focus of the book is in developing compact and low power integrated circuits for crosstalk cancellation, inter-symbol interference (ISI) mitigation and improved bit error rates (BER) at higher speeds. This book is one of the first to discuss in detail the problem of crosstalk and ISI mitigation encountered as data rates have continued beyond 10Gb/s. Readers will learn to avoid the data performance cliff, with circuits and design techniques described for novel, low power crosstalk cancellation methods that are easily combined with current ISI mitigation architectures.

Cognitive Radio Receiver Front-Ends - RF/Analog Circuit Techniques (Paperback, Softcover reprint of the original 1st ed. 2014):... Cognitive Radio Receiver Front-Ends - RF/Analog Circuit Techniques (Paperback, Softcover reprint of the original 1st ed. 2014)
Bodhisatwa Sadhu, Ramesh Harjani
R3,012 Discovery Miles 30 120 Ships in 10 - 15 working days

This book focuses on the architecture and circuit design for cognitive radio receiver front-ends. The authors first provide a holistic explanation of RF circuits for cognitive radio systems. This is followed by an in-depth exploration of existing techniques that can be utilized by circuit designers. Coverage also includes novel circuit techniques and architectures that can be invaluable for designers for cognitive radio systems.

Design of High-Performance CMOS Voltage-Controlled Oscillators (Paperback, Softcover reprint of the original 1st ed. 2003):... Design of High-Performance CMOS Voltage-Controlled Oscillators (Paperback, Softcover reprint of the original 1st ed. 2003)
Liang Dai, Ramesh Harjani
R4,196 Discovery Miles 41 960 Ships in 10 - 15 working days

Design of High-Performance CMOS Voltage-Controlled Oscillators presents a phase noise modeling framework for CMOS ring oscillators. The analysis considers both linear and nonlinear operation. It indicates that fast rail-to-rail switching has to be achieved to minimize phase noise. Additionally, in conventional design the flicker noise in the bias circuit can potentially dominate the phase noise at low offset frequencies. Therefore, for narrow bandwidth PLLs, noise up conversion for the bias circuits should be minimized. We define the effective Q factor (Qeff) for ring oscillators and predict its increase for CMOS processes with smaller feature sizes. Our phase noise analysis is validated via simulation and measurement results. The digital switching noise coupled through the power supply and substrate is usually the dominant source of clock jitter. Improving the supply and substrate noise immunity of a PLL is a challenging job in hostile environments such as a microprocessor chip where millions of digital gates are present.

High-Linearity CMOS RF Front-End Circuits (Paperback, Softcover reprint of hardcover 1st ed. 2005): Yongwang Ding, Ramesh... High-Linearity CMOS RF Front-End Circuits (Paperback, Softcover reprint of hardcover 1st ed. 2005)
Yongwang Ding, Ramesh Harjani
R2,720 Discovery Miles 27 200 Ships in 10 - 15 working days

This book focuses on high performance radio frequency integrated circuits (RF IC) design in CMOS. 1. Development of radio frequency ICs Wireless communications has been advancing rapidly in the past two decades. Many high performance systems have been developed, such as cellular systems (AMPS, GSM, TDMA, CDMA, W-CDMA, etc. ), GPS system (global po- tioning system) and WLAN (wireless local area network) systems. The rapid growth of VLSI technology in both digital circuits and analog circuits provides benefits for wireless communication systems. Twenty years ago not many p- ple could imagine millions of transistors in a single chip or a complete radio for size of a penny. Now not only complete radios have been put in a single chip, but also more and more functions have been realized by a single chip and at a much lower price. A radio transmits and receives electro-magnetic signals through the air. The signals are usually transmitted on high frequency carriers. For example, a t- ical voice signal requires only 30 Kilohertz bandwidth. When it is transmitted by a FM radio station, it is often carried by a frequency in the range of tens of megahertz to hundreds of megahertz. Usually a radio is categorized by its carrier frequency, such as 900 MHz radio or 5 GHz radio. In general, the higher the carrier frequency, the better the directivity, but the more difficult the radio design.

Design of Modulators for Oversampled Converters (Paperback, Softcover reprint of the original 1st ed. 1998): Feng Wang, Ramesh... Design of Modulators for Oversampled Converters (Paperback, Softcover reprint of the original 1st ed. 1998)
Feng Wang, Ramesh Harjani
R2,753 Discovery Miles 27 530 Ships in 10 - 15 working days

Oversampled A/D converters have become very popular in recent years. Some of their advantages include relaxed requirements for anti-alias filters, relaxed requirements for component matching, high resolution and compatibility with digital VLSI technology. There is a significant amount of literature discussing the principle, theory and implementation of various oversampled converters. Such converters are likely to continue to proliferate in the foreseeable future. Additionally, more recently there has been great interest in low voltage and low power circuit design. New design techniques have been proposed for both the digital domain and the analog domain. Both trends point to the importance of the low-power design of oversampled A/D converters. Unfortunately, there has been no systematic study of the optimal design of modulators for oversampled converters. Design has generally focused on new architectures with little attention being paid to optimization. The goal of Design of Modulators for Oversampled Converters is to develop a methodology for the optimal design of modulators in oversampled converters. The primary focus of the presentation is on minimizing power consumption and understanding and limiting the nonlinearities that result in such converters. Design of Modulators for Oversampled Converters offers a quantitative justification for the various design tradeoffs and serves as a guide for designing low-power highly linear oversampled converters. Design of Modulators for Oversampled Converters will serve as a valuable guide for circuit design practitioners, university researchers and graduate students who are interested in this fast-moving area.

Design of Low Power Integrated Radios for Emerging Standards (Paperback, 1st ed. 2020): Mustafijur Rahman, Ramesh Harjani Design of Low Power Integrated Radios for Emerging Standards (Paperback, 1st ed. 2020)
Mustafijur Rahman, Ramesh Harjani
R2,549 Discovery Miles 25 490 Ships in 10 - 15 working days

This book describes novel and disruptive architecture and circuit design techniques, toward the realization of low-power, standard-compliant radio architectures and silicon implementation of the circuits required for a variety of leading-edge applications. Readers will gain an understanding of the circuit level challenges that exist for low power radios, compatible with the IEEE 802.15.6 standard. The authors discuss current techniques to address some of these challenges, helping readers to understand the state-of-the-art, and to address the various, open research problems that exist with respect to realizing low power radios. Enables readers to face challenging bottleneck in low power radio design, with state-of-the-art, circuit-level design techniques; Provides readers with basic knowledge of circuits suitable for low power radio circuits compatible with the IEEE 802.15.6 standard; Discusses new and emerging architectures and circuit techniques, enabling applications such as body area networks and internet of things.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Bostik Double-Sided Tape (18mm x 10m…
 (1)
R31 Discovery Miles 310
Cable Guys Controller and Smartphone…
R399 R359 Discovery Miles 3 590
Fine Living E-Table (Black | White)
 (7)
R319 R199 Discovery Miles 1 990
JBL T110 In-Ear Headphones (White)
R229 R205 Discovery Miles 2 050
A Girl, A Bottle, A Boat
Train CD  (2)
R108 R68 Discovery Miles 680
Samsung EO-IA500BBEGWW Wired In-ear…
R299 R249 Discovery Miles 2 490
Chris van Wyk: Irascible Genius - A…
Kevin van Wyk Paperback R360 R255 Discovery Miles 2 550
Polaroid Fit Active Watch (Pink)
R760 Discovery Miles 7 600
Harry Potter Wizard Wand - In…
 (3)
R800 Discovery Miles 8 000
Capitec - Stalking Giants
T J Strydom Paperback R320 R245 Discovery Miles 2 450

 

Partners