0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (6)
  • R250 - R500 (72)
  • R500+ (150)
  • -
Status
Format
Author / Contributor
Publisher

Books > Professional & Technical > Electronics & communications engineering > Electronics engineering > Electronic devices & materials > Microprocessors

Microprocessor Architectures - From VLIW to TTA (Hardcover, New): H Corporaal Microprocessor Architectures - From VLIW to TTA (Hardcover, New)
H Corporaal
R6,637 Discovery Miles 66 370 Ships in 12 - 17 working days

Exploring new trends in computer technology, Corporal introduces an innovative and exciting concept: Transport Triggered Architecture (TTAs). Unlike most traditional architectures, where programmed operations trigger internal data transports, TTAs function through programming the data transports themselves. As a result the new architecture alleviates bottlenecks, allows for new code-generation optimizations and exploits hardware more efficiently. Founded on the author’s recent research, this book evaluates the attributes of different classes of architectures. It demonstrates how TTAs can be used as a template for automatic generation of application-specific processors and highlights their suitability for embedded system design. Several commercial TTA implementations have proven its concepts and advantages.

Features includes:

  • Complexity analysis of the data path of Instruction Level Parallel processors, particularly of VLIW (Very Long Instruction Word) and super-pipelined processors
  • Derivation of the transport triggering concept illustrating processor simplification
  • In-depth analysis of the architecture design space of TTAs and evaluation of architecture parameters
  • Examination of the control and pipelining of instruction, function and register units
  • Description of a trajectory for the automatic synthesis of TTAs for arbitrary applications written in a high-level language
  • Detailed description of a prototype TTA processor enabling the reader to design an embedded computer system with excellent cost-performance ratio

Microprocessor Architectures is cutting-edge text which will prove invaluable to both industrial hardware and software engineers involved in embedded system design and to postgraduate electrical engineering and computer science students. This clearly-structured reference demonstrates the versatility of TTAs and explores their influential role in the next generation of computer architecture.

Beyond BIOS - Developing with the Unified Extensible Firmware Interface, Third Edition (Paperback, 3rd Ed.): Vincent Zimmer,... Beyond BIOS - Developing with the Unified Extensible Firmware Interface, Third Edition (Paperback, 3rd Ed.)
Vincent Zimmer, Michael Rothman, Suresh Marisetty
R2,451 R1,950 Discovery Miles 19 500 Save R501 (20%) Ships in 10 - 15 working days

This book provides an overview of modern boot firmware, including the Unified Extensible Firmware Interface (UEFI) and its associated EFI Developer Kit II (EDKII) firmware. The authors have each made significant contributions to developments in these areas. The reader will learn to use the latest developments in UEFI on modern hardware, including open source firmware and open hardware designs. The book begins with an exploration of interfaces exposed to higher-level software and operating systems, and commences to the left of the boot timeline, describing the flow of typical systems, beginning with the machine restart event. Software engineers working with UEFI will benefit greatly from this book, while specific sections of the book address topics relevant for a general audience: system architects, pre-operating-system application developers, operating system vendors (loader, kernel), independent hardware vendors (such as for plug-in adapters), and developers of end-user applications. As a secondary audience, project technical leaders or managers may be interested in this book to get a feel for what their engineers are doing. The reader will find: An overview of UEFI and underlying Platform Initialization (PI) specifications How to create UEFI applications and drivers Workflow to design the firmware solution for a modern platform Advanced usages of UEFI firmware for security and manageability

CMOS Integrated Switching Power Converters - A Structured Design Approach (Paperback, 2011 ed.): Gerard Villar-Pique, Eduard... CMOS Integrated Switching Power Converters - A Structured Design Approach (Paperback, 2011 ed.)
Gerard Villar-Pique, Eduard Alarcon
R3,298 Discovery Miles 32 980 Ships in 10 - 15 working days

This book describes the structured design and optimization of efficient, energy processing integrated circuits. The approach is multidisciplinary, covering the monolithic integration of IC design techniques, power electronics and control theory. In particular, this book enables readers to conceive, synthesize, design and implement integrated circuits with high-density high-efficiency on-chip switching power regulators. Topics covered encompass the structured design of the on-chip power supply, efficiency optimization, IC-compatible power inductors and capacitors, power MOSFET switches and efficient switch drivers in standard CMOS technologies.

Real-Time Software Design - A Guide for Microprocessor Systems (Paperback, Softcover reprint of the original 1st ed. 1987):... Real-Time Software Design - A Guide for Microprocessor Systems (Paperback, Softcover reprint of the original 1st ed. 1987)
Heller
R1,547 Discovery Miles 15 470 Ships in 10 - 15 working days

Computers these days spend a fairly low fraction of their time computing. In fact, the very word "computer" has become something of a misnomer. In the American History museum of the Smithsonian Institute in Wash ington, D.C., there is an exhibit of early computers. Three features of these machines are striking. First, they are enormous, especially in com parison to their capabilities. The museum visitor who has just come from the Natural History building next door may be reminded of fossilized di nosaur bones. Second, they don't look at all like modern computing ma chines. The cases are made of crude metal or beautifully worked wood, recalling an approach to the design of scientific apparatus which belongs to a previous generation. Lastly, the function of these machines is mainly to compute-to perform rapid arithmetic. The computer of today bears little resemblance in size, form, or function to its ancestors. It is, most obviously, smaller by several orders of mag nitude. Its form has changed from the carefully crafted one-of-a-kind in strument to the mass-produced microchip. But the change in its function is perhaps the most dramatic of all. Instead of being a computing engine, it is a machine for the processing of information. The word "processor" has come into common usage. A processor used to be a central processing unit-a set of wires and vacuum tubes, or later a set of printed circuit boards-which was nestled deep within the computer. Today a processor is an off-the-shelf component."

Submarine Power Cables - Design, Installation, Repair, Environmental Aspects (Paperback, 2009 ed.): Thomas Worzyk Submarine Power Cables - Design, Installation, Repair, Environmental Aspects (Paperback, 2009 ed.)
Thomas Worzyk
R4,036 Discovery Miles 40 360 Ships in 10 - 15 working days

The demand for high-performance submarine power cables is increasing as more and more offshore wind parks are installed, and the national electric grids are interconnected. Submarine power cables are installed for the highest voltages and power to transport electric energy under the sea between islands, countries and even continents. The installation and operation of submarine power cables is much different from land cables. Still, in most textbooks on electrical power systems, information on submarine cables is scarce. This book is closing the gap. Different species of submarine power cables and their application are explained. Students and electric engineers learn on the electric and mechanic properties of submarine cables. Project developers and utility managers will gain useful information on the necessary marine activities such as pre-laying survey, cable lay vessels, guard boats etc., for the submarine cable installation and repair. Investors and decision makers will find an overview on environmental aspects of submarine power cables. A comprehensive reference list is given for those who want further reading.

Managing Power Electronics - VLSI and DSP-Driven Computer Systems (Hardcover): N Rossetti Managing Power Electronics - VLSI and DSP-Driven Computer Systems (Hardcover)
N Rossetti
R3,981 Discovery Miles 39 810 Ships in 12 - 17 working days

A unique system focus that presents specific solutions for specific appliances
This publication presents state-of-the-art power management techniques for modern electronic appliances that rely on such very large-scale integration (VLSI) chips as CPUs and DSPs. The author thoroughly covers all aspects of the field, including semiconductor manufacturing processes, packages, circuits, functions, and systems. A unique and significant contribution to the field, the publication adopts a "system focus" by first presenting the appliance and then delving into the power management architecture and topologies that best serve each appliance.
In addition to specific techniques and applications, the publication discusses fundamental physical and socioeconomic issues. For example, the author examines Moore's law and its effect on power management and heat dissipation, which points to a future breakthrough needed to continue the fast pace of advancement in the high-tech industry.
The author provides a solid technical foundation and an analysis of popular electronic appliances, including:
* Overview of the semiconductor industry
* Plain-English discussion of semiconductor processes and packages
* Step-by-step guide to analog design building from the transistor to higher-level functions, leading to the implementation of a complete voltage regulator
* Popular DC-DC voltage regulation architectures
* AC-DC architectures for power conversion
* Ultra-portable devices, such as cellular phones, PDAs, and digital still cameras
* Desktop and notebook PCs
The publication concludes with a chapter on special power management topics and an expert forecast of future directions for the field. This is essential reading for researchers, engineers, and designers in the semiconductor and integrated circuits industries. With its extensive use of cross-section drawings as well as transistor circuit schematics, this is also a recommended textbook for advanced undergraduate and graduate courses in computer science and electrical engineering.

Power-Aware Computer Systems - 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected... Power-Aware Computer Systems - 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers (Paperback, 2005 ed.)
Babak Falsafi, T.N. Vijaykumar
R1,597 Discovery Miles 15 970 Ships in 10 - 15 working days

Welcome to the proceedings of the Power-Aware Computer Systems (PACS 2004) workshop held in conjunction with the 37th Annual International Sym- sium on Microarchitecture (MICRO-37). The continued increase of power and energy dissipation in computer systems has resulted in higher cost, lower re- ability, and reduced battery life in portable systems. Consequently, power and energy have become ?rst-class constraints at all layers of modern computer s- tems. PACS 2004 is the fourth workshop in its series to explore techniques to reduce power and energy at all levels of computer systems and brings together academic and industry researchers. The papers in these proceedings span a wide spectrum of areas in pow- aware systems. We have grouped the papers into the following categories: (1) microarchitecture- and circuit-level techniques, (2) power-aware memory and interconnect systems, and (3) frequency- and voltage-scaling techniques. The ?rst paper in the microarchitecture group proposes banking and wri- back ?ltering to reduce register ?le power. The second paper in this group - timizes both delay and power of the issue queue by packing two instructions in each issue queue entry and by memorizing upper-order bits of the wake-up tag. The third paper proposes bit slicing the datapath to exploit narrow width operations, and the last paper proposes to migrate application threads from one core to another in a multi-core chip to address thermal problems.

Power-Aware Computer Systems - Third International Workshop, PACS 2003, San Diego, CA, USA, December 1, 2003, Revised Papers... Power-Aware Computer Systems - Third International Workshop, PACS 2003, San Diego, CA, USA, December 1, 2003, Revised Papers (Paperback, 2005 ed.)
Babak Falsafi, T.N. Vijaykumar
R1,654 Discovery Miles 16 540 Ships in 10 - 15 working days

Welcome to the proceedings of the 3rd Power-Aware Computer Systems (PACS 2003) Workshop held in conjunction with the 36th Annual International Symposium on Microarchitecture (MICRO-36). The increase in power and - ergy dissipation in computer systems has begun to limit performance and has also resulted in higher cost and lower reliability. The increase also implies - ducedbatterylifeinportablesystems.Becauseofthemagnitudeoftheproblem, alllevelsofcomputersystems, includingcircuits, architectures, andsoftware, are being employed to address power and energy issues. PACS 2003 was the third workshop in its series to explore power- and energy-awareness at all levels of computer systems and brought together experts from academia and industry. These proceedings include 14 research papers, selected from 43 submissions, spanningawidespectrumofareasinpower-awaresystems.Wehavegrouped the papers into the following categories: (1) compilers, (2) embedded systems, (3) microarchitectures, and (4) cache and memory systems. The ?rst paper on compiler techniques proposes pointer reuse analysis that is biased by runtime information (i.e., the targets of pointers are determined based on the likelihood of their occurrence at runtime) to map accesses to ener- e?cient memory access paths (e.g., avoid tag match). Another paper proposes compiling multiple programs together so that disk accesses across the programs can be synchronized to achieve longer sleep times in disks than if the programs are optimized separat

Waste Treatment in the Process Industries (Hardcover): Lawrence K. Wang, Yung-Tse Hung, Howard H Lo, Constantine Yapijakis Waste Treatment in the Process Industries (Hardcover)
Lawrence K. Wang, Yung-Tse Hung, Howard H Lo, Constantine Yapijakis
R6,926 Discovery Miles 69 260 Ships in 12 - 17 working days

Increasing demand on industrial capacity has, as an unintended consequence, produced an accompanying increase in harmful and hazardous wastes. Derived from the second edition of the popular Handbook of Industrial and Hazardous Wastes Treatment, Waste Treatment in the Process Industries outlines the fundamentals and latest developments in waste treatment in various process industries, such as pharmaceuticals, textiles, petroleum, soap, detergent, phosphate, paper, pulp, pesticides, rubber, and power. Comprehensive in scope, it provides information that is directly applicable to daily waste management problems throughout the industry. The book contains in-depth discussions of environmental pollution sources, waste characteristics, control technologies, management strategies, facility innovations, process alternatives, costs, case histories, effluent standards, and future trends for the process industry. It includes extensive bibliographies for each type of industrial process waste treatment or practice, invaluable information to anyone who needs to trace, follow, duplicate, or improve on a specific process waste treatment practice. A quick scan of the chapters and contributors reveals the depth and breadth of the book's coverage. It provides technical and economical information on how to develop the most feasible total environmental control program that can benefit both process industry and local municipalities.

Power-Aware Computer Systems - Second International Workshop, PACS 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers... Power-Aware Computer Systems - Second International Workshop, PACS 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers (Paperback, 2003 ed.)
Babak Falsafi, T.N. Vijaykumar
R1,654 Discovery Miles 16 540 Ships in 10 - 15 working days

This book constitutes the thoroughly refereed post-proceedings of the Second International Workshop on Power-Aware Computer Systems, PACS 2002, held in Cambridge, MA, USA, in February 2002. The 13 revised full papers presented were carefully selected for inclusion in the book during two rounds of reviewing and revision. The papers are organized in topical sections on power-aware architecture and microarchitecture, power-aware real-time systems, power modeling and monitoring, and power-aware operating systems and compilers.

Power-Aware Computer Systems - First International Workshop, PACS 2000 Cambridge, MA, USA, November 12, 2000 Revised Papers... Power-Aware Computer Systems - First International Workshop, PACS 2000 Cambridge, MA, USA, November 12, 2000 Revised Papers (Paperback, 2001 ed.)
B. Falsafi, T.N. Vijaykumar
R1,615 Discovery Miles 16 150 Ships in 10 - 15 working days

This book constitutes the thoroughly refereed post-proceedings of the First International Workshop on Power-Aware Computer Systems, PACS 2000, held in Cambridge, MA, USA, in November 2000. The 11 revised full papers presented were carefully reviewed, selected, and revised for inclusion in the book. This book addresses power/energy-awareness at all levels of computer systems. The papers are organized in sections on power-aware microarchitectural/circuit techniques, application/compiler optimization, exploiting IPC/memory slack, and power/performance models and tools.

Software Architecture (Hardcover): MC Oussalah Software Architecture (Hardcover)
MC Oussalah
R4,078 Discovery Miles 40 780 Ships in 12 - 17 working days

Over the past 20 years, software architectures have significantly contributed to the development of complex and distributed systems. Nowadays, it is recognized that one of the critical problems in the design and development of any complex software system is its architecture, i.e. the organization of its architectural elements. Software Architecture presents the software architecture paradigms based on objects, components, services and models, as well as the various architectural techniques and methods, the analysis of architectural qualities, models of representation of architectural templates and styles, their formalization, validation and testing and finally the engineering approach in which these consistent and autonomous elements can be tackled.

Robot Magic - Beginner Robotics for the Maker and Magician (Paperback): Mario Marchese Robot Magic - Beginner Robotics for the Maker and Magician (Paperback)
Mario Marchese
R563 R503 Discovery Miles 5 030 Save R60 (11%) Ships in 12 - 17 working days

Learn robotics through magic, or enhance your magic with robotics! This book is a beginner's guide to creating robotics-infused magic. You'll be introduced to simple DIY electronics and Arduino programming, and you will learn how to use those tools to create a treasure trove of magic bots and effects, with readily-sourced materials and everyday objects. It's magic through the lens of the Maker Movement, with a dedication to accessibility -- cardboard meets Arduino meets magic! All ages, backgrounds, and abilities will find clever, fun projects within these pages that challenge their creativity and explode their imagination.

Protective Relaying - Theory and Applications (Hardcover, 2nd edition): Walter A Elmore Protective Relaying - Theory and Applications (Hardcover, 2nd edition)
Walter A Elmore
R5,669 Discovery Miles 56 690 Ships in 12 - 17 working days

Targeting the latest microprocessor technologies for more sophisticated applications in the field of power system short circuit detection, this revised and updated source imparts fundamental concepts and breakthrough science for the isolation of faulty equipment and minimization of damage in power system apparatus. The Second Edition clearly describes key procedures, devices, and elements crucial to the protection and control of power system function and stability. It includes chapters and expertise from the most knowledgeable experts in the field of protective relaying, and describes microprocessor techniques and troubleshooting strategies in clear and straightforward language.

Formal Verification - An Essential Toolkit for Modern VLSI Design (Paperback, 2nd edition): Erik Seligman, Tom Schubert, M. V.... Formal Verification - An Essential Toolkit for Modern VLSI Design (Paperback, 2nd edition)
Erik Seligman, Tom Schubert, M. V. Achutha Kiran Kumar
R2,620 Discovery Miles 26 200 Ships in 9 - 15 working days

Formal Verification: An Essential Toolkit for Modern VLSI Design, Second Edition presents practical approaches for design and validation, with hands-on advice to help working engineers integrate these techniques into their work. Formal Verification (FV) enables a designer to directly analyze and mathematically explore the quality or other aspects of a Register Transfer Level (RTL) design without using simulations. This can reduce time spent validating designs and more quickly reach a final design for manufacturing. Building on a basic knowledge of SystemVerilog, this book demystifies FV and presents the practical applications that are bringing it into mainstream design and validation processes. New sections cover advanced techniques, and a new chapter, The Road To Formal Signoff, emphasizes techniques used when replacing simulation work with Formal Verification. After reading this book, readers will be prepared to introduce FV in their organization to effectively deploy FV techniques that increase design and validation productivity.

Dedicated Digital Processors - Methods in Hardware /Software System Design (Hardcover, 2nd Ed): F. Mayer-Lindenber Dedicated Digital Processors - Methods in Hardware /Software System Design (Hardcover, 2nd Ed)
F. Mayer-Lindenber
R3,438 Discovery Miles 34 380 Ships in 12 - 17 working days

The recent evolution of digital technology has resulted in the design of digital processors with increasingly complex capabilities. The implementation of hardware/software co-design methodologies provides new opportunities for the development of low power, high speed DSPs and processor networks. Dedicated digital processors are digital processors with an application specific computational task. "Dedicated Digital Processors" presents an integrated and accessible approach to digital processor design principles, processes, and implementations based upon the author's considerable experience in teaching digital systems design and digital signal processing. Emphasis is placed on presentation of hardware/software co-design methods, with examples and illustrations provided throughout the text. System-on-a-chip and embedded systems are described and examples of high speed real-time processing are given. Coverage of standard and emerging DSP architectures enable the reader to make an informed selection when undertaking their own designs. This book: presents readers with the elementary building blocks for the design of digital hardware systems and processor networks; provides a unique evaluation of standard DSP architectures whilst providing up-to-date information on the latest architectures, including the TI 55x and TigerSharc chip families and the Virtex FPGA (field--programmable gate array); and, introduces the concepts and methodologies for describing and designing hardware. VHDL is presented and used to illustrate the design of a simple processor. A practical overview of hardware/software codesign with design techniques and considerations are illustrated with examples of real-world designs. It is useful as a fundamental reading for graduate and senior undergraduate students of computer and electronic engineering, and practicing engineers developing DSP applications.

Harnessing the UEFI Shell - Moving the Platform Beyond DOS, Second Edition (Paperback, 2nd ed.): Michael Rothman, Vincent... Harnessing the UEFI Shell - Moving the Platform Beyond DOS, Second Edition (Paperback, 2nd ed.)
Michael Rothman, Vincent Zimmer, Tim Lewis
R2,775 R2,194 Discovery Miles 21 940 Save R581 (21%) Ships in 10 - 15 working days

Focusing on the use of the UEFI Shell and its recently released formal specification, this book unlocks a wide range of usage models which can help people best utilize the shell solutions. This text also expands on the obvious intended utilization of the shell and explains how it can be used in various areas such as security, networking, configuration, and other anticipated uses such as manufacturing, diagnostics, etc. Among other topics, Harnessing the UEFI Shell demonstrates how to write Shell scripts, how to write a Shell application, how to use provisioning options and more. Since the Shell is also a UEFI component, the book will make clear how the two things interoperate and how both Shell developers as well as UEFI developers can dip into the other's field to further expand the power of their solutions. Harnessing the UEFI Shell is authored by the three chairs of the UEFI working sub-teams, Michael Rothman (Intel, chair of the UEFI Configuration and UEFI Shell sub-teams), Vincent Zimmer (Intel, chair of the UEFI networking sub-team and security sub-team), and Tim Lewis (Insyde Software, chair of the UEFI security sub-team). This book is perfect for any OEMs that ship UEFI-based solutions (which is all of the MNCs such as IBM, Dell, HP, Apple, etc.), software developers who are focused on delivering solutions targeted to manufacturing, diagnostics, hobbyists, or stand-alone kiosk environments.

The Application of Programmable DSPs in Mobile Communications (Hardcover): A. Gatherer The Application of Programmable DSPs in Mobile Communications (Hardcover)
A. Gatherer
R5,039 Discovery Miles 50 390 Ships in 12 - 17 working days

With the introduction of WAP in Europe and I-mode in Japan, mobile terminals took their first steps out of the world of mobile telephony and into the world of mobile data. At the same time, the shift from 2nd generation to 3rd generation cellular technology has increased the potential data rate available to mobile users by tenfold as well as shifting data transport from circuit switched to packet data. These fundamental shifts in nature and the quantity of data available to mobile users has led to an explosion in the number of applications being developed for future digital terminal devices. Though these applications are diverse they share a common need for complex Digital Signal Processing (DSP) and in most cases benefit from the use of programmable DSPs (Digital Signal Processors).

  • Features contributions from experts who discuss the implementation and applications of programmable DSPs

  • Includes detailed introductions to speech coding, speech recognition, video and audio compression, biometric identification and their application for mobile communications devices

  • Discusses the alternative DSP technology which is attempting to unseat the programmable DSP from the heart of tomorrow's mobile terminals

  • Presents innovative new applications that are waiting to be discovered in the unique environment created when mobility meets signal processing
The Application of Programmable DSPs in Mobile Communications provides an excellent overview for engineers moving into the area of mobile communications or entrepreneurs looking to understand state of the art in mobile terminals. It is also a must for students and professors looking for new application areas where DSP technology is being applied.
Design of High-Performance Microprocessor Circuits (Hardcover): A Chandrakasan Design of High-Performance Microprocessor Circuits (Hardcover)
A Chandrakasan
R6,409 Discovery Miles 64 090 Ships in 12 - 17 working days

Electrical Engineering Design of High Performance Microprocessor Circuits This book covers the design of next generation microprocessors in deep submicron CMOS technologies. The chapters in Design of High-Performance Microprocessor Circuits were written by some of the world's leading technologists, designers, and researchers. All levels of system abstraction are covered, but the emphasis rests squarely on circuit design. Examples are drawn from processors designed at AMD, Digital/Compaq, IBM, Intel, MIPS, Mitsubishi, Motorola, and Toshiba. Each topic of this invaluable reference stands alone so the chapters can be read in any order. The following topics are covered in depth:
* Architectural constraints of CMOS VLSI design
* Technology scaling, low-power devices, SOI, and process variations
* Contemporary design styles including a survey of logic families, robust dynamic circuits, asynchronous logic, self-timed pipelines, and fast arithmetic units.
* Latches, clocks and clock distribution, phase-locked and delay-locked loops
* Register file, cache memory, and embedded DRAM design
* High-speed signaling techniques and I/O design
* ESD, electromigration, and hot-carrier reliability
* CAD tools, including timing verification and the analysis of power distribution schemes
* Test and testability
Design of High-Performance Microprocessor Circuits assumes a basic knowledge of digital circuit design and device operation, and covers a broad range of circuit styles and VLSI design techniques. Packed with practical know-how, it is an indispensable reference for practicing circuit designers, architects, system designers, CAD tool developers, processtechnologists, and researchers. It is also an essential text for VLSI design courses.

The Definitive Guide to the ARM Cortex-M0 (Paperback): Joseph Yiu The Definitive Guide to the ARM Cortex-M0 (Paperback)
Joseph Yiu
R2,015 Discovery Miles 20 150 Ships in 10 - 15 working days

The Definitive Guide to the ARM Cortex-M0 is a guide for users of ARM Cortex-M0 microcontrollers. It presents many examples to make it easy for novice embedded-software developers to use the full 32-bit ARM Cortex-M0 processor. It provides an overview of ARM and ARM processors and discusses the benefits of ARM Cortex-M0 over 8-bit or 16-bit devices in terms of energy efficiency, code density, and ease of use, as well as their features and applications. The book describes the architecture of the Cortex-M0 processor and the programmers model, as well as Cortex-M0 programming and instruction set and how these instructions are used to carry out various operations. Furthermore, it considers how the memory architecture of the Cortex-M0 processor affects software development; Nested Vectored Interrupt Controller (NVIC) and the features it supports, including flexible interrupt management, nested interrupt support, vectored exception entry, and interrupt masking; and Cortex-M0 features that target the embedded operating system. It also explains how to develop simple applications on the Cortex-M0, how to program the Cortex-M0 microcontrollers in assembly and mixed-assembly languages, and how the low-power features of the Cortex-M0 processor are used in programming. Finally, it describes a number of ARM Cortex-M0 products, such as microcontrollers, development boards, starter kits, and development suites. This book will be useful to both new and advanced users of ARM Cortex devices, from students and hobbyists to researchers, professional embedded- software developers, electronic enthusiasts, and even semiconductor product designers.

The Pentium Chronicles - The People, Passion and Politics Behind Intel's Landmark Chips (Paperback): RP Colwell The Pentium Chronicles - The People, Passion and Politics Behind Intel's Landmark Chips (Paperback)
RP Colwell
R1,424 Discovery Miles 14 240 Ships in 10 - 15 working days

"The Pentium Chronicles" describes the architecture and key decisions that shaped the P6, Intel's most successful chip to date. As author Robert Colwell recognizes, success is about learning from others, and "Chronicles" is filled with stories of ordinary, exceptional people as well as frank assessments of "oops" moments, leaving you with a better understanding of what it takes to create and grow a winning product.

Cortex-M programming - starts with a smart Mbed (Paperback): Chibum Lee, Hee Jae Park Cortex-M programming - starts with a smart Mbed (Paperback)
Chibum Lee, Hee Jae Park
R1,314 Discovery Miles 13 140 Ships in 10 - 15 working days
AVR and ATmega32 Microcontroller - HC-05 Bluetooth module, 8x8 Light Emitting Diode Matrix, Alarm System, Light Intensity... AVR and ATmega32 Microcontroller - HC-05 Bluetooth module, 8x8 Light Emitting Diode Matrix, Alarm System, Light Intensity etc.., (Paperback)
Ambika Parameswari K; Anbazhagan K
R503 Discovery Miles 5 030 Ships in 10 - 15 working days
Microprocessor Architecture - From Simple Pipelines to Chip Multiprocessors (Hardcover): Jean-Loup Baer Microprocessor Architecture - From Simple Pipelines to Chip Multiprocessors (Hardcover)
Jean-Loup Baer
R2,320 Discovery Miles 23 200 Ships in 12 - 17 working days

This book gives a comprehensive description of the architecture of microprocessors from simple in-order short pipeline designs to out-of-order superscalars. It discusses topics such as: * The policies and mechanisms needed for out-of-order processing such as register renaming, reservation stations, and reorder buffers * Optimizations for high performance such as branch predictors, instruction scheduling, and load-store speculations * Design choices and enhancements to tolerate latency in the cache hierarchy of single and multiple processors * State-of-the-art multithreading and multiprocessing emphasizing single chip implementations Topics are presented as conceptual ideas, with metrics to assess the performance impact, if appropriate, and examples of realization. The emphasis is on how things work at a black box and algorithmic level. The author also provides sufficient detail at the register transfer level so that readers can appreciate how design features enhance performance as well as complexity.

Romantic and DIY Fun Circuit Projects with hands on - DIY Romantic Marry Me, Music Operated Dancing LEDs, Criminal Alarm,... Romantic and DIY Fun Circuit Projects with hands on - DIY Romantic Marry Me, Music Operated Dancing LEDs, Criminal Alarm, Crisis Light, Robot, XOR, NOR, OR, XOR and NOT Gate (Paperback)
Ambika Parameswari K; Anbazhagan K
R592 Discovery Miles 5 920 Ships in 10 - 15 working days
Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Thomas Traherne
Spck Paperback R503 Discovery Miles 5 030
Abraham Lincoln Was a Liberal, Jefferson…
Lochlainn Seabrook Hardcover R1,004 Discovery Miles 10 040
Abjectification - Stories & Truths
C Kubasta Hardcover R732 R651 Discovery Miles 6 510
In A Free State - A Music
P.R. Anderson Paperback R209 Discovery Miles 2 090
Reminisce Romance - Book 2
Ann Aubitz Hardcover R823 Discovery Miles 8 230
Chaucer and the Social Contest…
Peggy Knapp Hardcover R4,559 Discovery Miles 45 590
Doghouse
Danny Dyer, Stephen Graham, … DVD  (1)
R83 Discovery Miles 830
The Bok Who Lost His Spring
Marleen Lammers Paperback R180 R167 Discovery Miles 1 670
Book Club 2 - The Next Chapter
Diane Keaton, Jane Fonda, … DVD R288 Discovery Miles 2 880
PAW Patrol Picture Book - I Love You…
Paw Patrol Paperback R190 R170 Discovery Miles 1 700

 

Partners