0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (7)
  • R250 - R500 (63)
  • R500+ (160)
  • -
Status
Format
Author / Contributor
Publisher

Books > Professional & Technical > Electronics & communications engineering > Electronics engineering > Electronic devices & materials > Microprocessors

Chip War - The Fight for the World's Most Critical Technology (Hardcover): Chris Miller Chip War - The Fight for the World's Most Critical Technology (Hardcover)
Chris Miller
R870 R671 Discovery Miles 6 710 Save R199 (23%) Ships in 10 - 15 working days
Embedded Systems Design with 8051 Microcontrollers - Hardware and Software (Hardcover): Zdravko Karakehayov Embedded Systems Design with 8051 Microcontrollers - Hardware and Software (Hardcover)
Zdravko Karakehayov
R4,533 Discovery Miles 45 330 Ships in 12 - 17 working days

Contains a disk of all the example problems included in the book Embedded systems are altering the landscape of electronics manufacturing worldwide, giving many consumer products sophisticated capabilities undreamt of even a few years ago. The explosive proliferation of built-in computers and the variety of design methods developed in both industry and academia necessitates the sort of pragmatic guidance offered in Embedded Systems Design with 8051 Microcontrollers. This enormously practical reference/text explains the developments in microcontroller technology and provides lucid instructions on its many and varied applications-focusing on the popular 8-bit microcontroller, the 8051, and the 83C552. Outlines a systematic methodology for design of small-scale, control-dominated embedded systems Including end-of-chapter problems that reinforce essential concepts and end-of-chapter references with URLs, Embedded Systems Design with 8051 Microcontrollers reviews basic concepts, from logic gates to Internet appliances considers 8051 and 83C552 microcontrollers as parallel running processors and embedded peripherals introduces a coherent taxonomy and symbols for microcontroller flags provides a succession of assembly language examples such as electromechanical and digital clocks examines digital interfacing at two hierarchical levels: interface to typical system components and interaction with the outside world covers applications of analog interfacing, from elementary forms to advanced designs for speech machines discusses serial interfaces suitable for distributed embedded systems demonstrates the transition from classical design approaches to the hardware-software codesign with case studies of a simplified EPROM programmer and an EPROM emulator and more Profusely illustrated with over 250 drawings and diagrams, this state-of-the-art resource is a must-read reference for electrical, electronics, computer, industrial, and

Formal Verification - An Essential Toolkit for Modern VLSI Design (Paperback, 2nd edition): Erik Seligman, Tom Schubert, M. V.... Formal Verification - An Essential Toolkit for Modern VLSI Design (Paperback, 2nd edition)
Erik Seligman, Tom Schubert, M. V. Achutha Kiran Kumar
R2,368 Discovery Miles 23 680 Ships in 12 - 17 working days

Formal Verification: An Essential Toolkit for Modern VLSI Design, Second Edition presents practical approaches for design and validation, with hands-on advice to help working engineers integrate these techniques into their work. Formal Verification (FV) enables a designer to directly analyze and mathematically explore the quality or other aspects of a Register Transfer Level (RTL) design without using simulations. This can reduce time spent validating designs and more quickly reach a final design for manufacturing. Building on a basic knowledge of SystemVerilog, this book demystifies FV and presents the practical applications that are bringing it into mainstream design and validation processes. New sections cover advanced techniques, and a new chapter, The Road To Formal Signoff, emphasizes techniques used when replacing simulation work with Formal Verification. After reading this book, readers will be prepared to introduce FV in their organization to effectively deploy FV techniques that increase design and validation productivity.

Real-Time Embedded Multithreading Using ThreadX (Hardcover, 2nd edition): Edward Lamie Real-Time Embedded Multithreading Using ThreadX (Hardcover, 2nd edition)
Edward Lamie
R4,846 Discovery Miles 48 460 Ships in 12 - 17 working days

This second edition of Real-Time Embedded Multithreading contains the fundamentals of developing real-time operating systems and multithreading with all the new functionality of ThreadX Version 5. ThreadX has been deployed in approximately 500 million devices worldwide. General concepts and terminology are detailed along with problem solving of common pitfalls and problems. The features and services of ThreadX are reviewed. The references to a specific processor have been removed to allow for the book to be technology agnostic and applicable to all types of microprocessors that the reader may be working with. Two e-book supplements will be available with registration of your book at www.newnespress.com, the first on ARM processors and the second, ColdFire processors.

Applications of Field-Programmable Gate Arrays in Scientific Research (Hardcover): Hartmut F.-W. Sadrozinski, Jin Yuan Wu Applications of Field-Programmable Gate Arrays in Scientific Research (Hardcover)
Hartmut F.-W. Sadrozinski, Jin Yuan Wu
R4,782 R4,202 Discovery Miles 42 020 Save R580 (12%) Ships in 12 - 17 working days

Focusing on resource awareness in field-programmable gate array (FPGA) design, Applications of Field-Programmable Gate Arrays in Scientific Research covers the principle of FPGAs and their functionality. It explores a host of applications, ranging from small one-chip laboratory systems to large-scale applications in "big science." The book first describes various FPGA resources, including logic elements, RAM, multipliers, microprocessors, and content-addressable memory. It then presents principles and methods for controlling resources, such as process sequencing, location constraints, and intellectual property cores. The remainder of the book illustrates examples of applications in high-energy physics, space, and radiobiology. Throughout the text, the authors remind designers to pay attention to resources at the planning, design, and implementation stages of an FPGA application, in order to reduce the use of limited silicon resources and thereby reduce system cost. Supplying practical know-how on an array of FPGA application examples, this book provides an accessible overview of the use of FPGAs in data acquisition, signal processing, and transmission. It shows how FPGAs are employed in laboratory applications and how they are flexible, low-cost alternatives to commercial data acquisition systems. Web Resource A supporting website at http: //scipp.ucsc.edu/hartmut/FPGA offers more details on FPGA programming and usage. The site contains design elements of the case studies from the book, including VHDL code, detailed schematics of selected projects, photographs, and screen shots.

Pilot Protective Relaying (Hardcover): Walter A Elmore Pilot Protective Relaying (Hardcover)
Walter A Elmore
R1,529 Discovery Miles 15 290 Ships in 10 - 15 working days

This lucid, accessible reference/text concentrates on the fundamentals of protective relaying and provides lasting information written in precise, intelligible language. Supplies an encyclopedic bibliography listing many recent professional papers useful to the relay engineer Stressing simplicity and root technology rather than more recent refinements, Pilot Protective Relaying describes the relative qualities of modern transmission line systems covers communications channels explores three-terminal applications details program design for microprocessor relays analyzes single-pole relaying explains how to protect lines equipped with series capacitors includes information on substation automation considers the nature of Intelligent Electronic Devices (IEDs) summarizes substation information management spotlights digital fault recording discusses the influence of mutual, ccvt transients, channel problems, power system swings, evolving faults, and ct saturation on transmission line relaying systems and more Preserving the wisdom of generations of practicing engineers and containing the basic principles of protective relaying and substation control, Pilot Protective Relaying is a key reference for protective relaying, electrical and electronics, power, high-voltage, electrical-power, transmission and distribution, and industrial engineers; and power system researchers and technicians; and the text of choice for students and professionals enrolled in upper-level undergraduate and graduate courses, continuing-education programs, and professional seminars in these disciplines.

Channel Coding: Theory, Algorithms, and Applications - Academic Press Library in Mobile and Wireless Communications... Channel Coding: Theory, Algorithms, and Applications - Academic Press Library in Mobile and Wireless Communications (Paperback)
David Declercq, Marc Fossorier, Ezio Biglieri
R3,553 R3,226 Discovery Miles 32 260 Save R327 (9%) Ships in 12 - 17 working days

This book gives a review of the principles, methods and techniques of important and emerging research topics and technologies in Channel Coding, including theory, algorithms, and applications. Edited by leading people in the field who, through their reputation, have been able to commission experts to write on a particular topic. With this reference source you will: Quickly grasp a new area of research Understand the underlying principles of a topic and its applications Ascertain how a topic relates to other areas and learn of the research issues yet to be resolved

Software Architecture 2 (Hardcover): MC Oussalah Software Architecture 2 (Hardcover)
MC Oussalah
R3,889 Discovery Miles 38 890 Ships in 12 - 17 working days

Over the past 20 years, software architectures have significantly contributed to the development of complex and distributed systems. Nowadays, it is recognized that one of the critical problems in the design and development of any complex software system is its architecture, i.e. the organization of its architectural elements. Software Architecture presents the software architecture paradigms based on objects, components, services and models, as well as the various architectural techniques and methods, the analysis of architectural qualities, models of representation of architectural templates and styles, their formalization, validation and testing and finally the engineering approach in which these consistent and autonomous elements can be tackled.

The Pentium Chronicles - The People, Passion and Politics Behind Intel's Landmark Chips (Paperback): RP Colwell The Pentium Chronicles - The People, Passion and Politics Behind Intel's Landmark Chips (Paperback)
RP Colwell
R1,260 Discovery Miles 12 600 Ships in 12 - 17 working days

"The Pentium Chronicles" describes the architecture and key decisions that shaped the P6, Intel's most successful chip to date. As author Robert Colwell recognizes, success is about learning from others, and "Chronicles" is filled with stories of ordinary, exceptional people as well as frank assessments of "oops" moments, leaving you with a better understanding of what it takes to create and grow a winning product.

Waste Treatment in the Process Industries (Hardcover): Lawrence K. Wang, Yung-Tse Hung, Howard H Lo, Constantine Yapijakis Waste Treatment in the Process Industries (Hardcover)
Lawrence K. Wang, Yung-Tse Hung, Howard H Lo, Constantine Yapijakis
R6,031 Discovery Miles 60 310 Ships in 12 - 17 working days

Increasing demand on industrial capacity has, as an unintended consequence, produced an accompanying increase in harmful and hazardous wastes. Derived from the second edition of the popular Handbook of Industrial and Hazardous Wastes Treatment, Waste Treatment in the Process Industries outlines the fundamentals and latest developments in waste treatment in various process industries, such as pharmaceuticals, textiles, petroleum, soap, detergent, phosphate, paper, pulp, pesticides, rubber, and power. Comprehensive in scope, it provides information that is directly applicable to daily waste management problems throughout the industry. The book contains in-depth discussions of environmental pollution sources, waste characteristics, control technologies, management strategies, facility innovations, process alternatives, costs, case histories, effluent standards, and future trends for the process industry. It includes extensive bibliographies for each type of industrial process waste treatment or practice, invaluable information to anyone who needs to trace, follow, duplicate, or improve on a specific process waste treatment practice. A quick scan of the chapters and contributors reveals the depth and breadth of the book's coverage. It provides technical and economical information on how to develop the most feasible total environmental control program that can benefit both process industry and local municipalities.

Reconfigurable and Adaptive Computing - Theory and Applications (Paperback): Nadia Nedjah, Chao Wang Reconfigurable and Adaptive Computing - Theory and Applications (Paperback)
Nadia Nedjah, Chao Wang
R2,149 Discovery Miles 21 490 Ships in 12 - 17 working days

Reconfigurable computing techniques and adaptive systems are some of the most promising architectures for microprocessors. Reconfigurable and Adaptive Computing: Theory and Applications explores the latest research activities on hardware architecture for reconfigurable and adaptive computing systems. The first section of the book covers reconfigurable systems. The book presents a software and hardware codesign flow for coarse-grained systems-on-chip, a video watermarking algorithm for the H.264 standard, a solution for regular expressions matching systems, and a novel field programmable gate array (FPGA)-based acceleration solution with MapReduce framework on multiple hardware accelerators. The second section discusses network-on-chip, including an implementation of a multiprocessor system-on-chip platform with shared memory access, end-to-end quality-of-service metrics modeling based on a multi-application environment in network-on-chip, and a 3D ant colony routing (3D-ACR) for network-on-chip with three different 3D topologies. The final section addresses the methodology of system codesign. The book introduces a new software-hardware codesign flow for embedded systems that models both processors and intellectual property cores as services. It also proposes an efficient algorithm for dependent task software-hardware codesign with the greedy partitioning and insert scheduling method (GPISM) by task graph.

Computers as Components - Principles of Embedded Computing System Design (Paperback, 5th edition): Marilyn Wolf Computers as Components - Principles of Embedded Computing System Design (Paperback, 5th edition)
Marilyn Wolf
R2,114 Discovery Miles 21 140 Ships in 12 - 17 working days
Robot Magic - Beginner Robotics for the Maker and Magician (Paperback): Mario Marchese Robot Magic - Beginner Robotics for the Maker and Magician (Paperback)
Mario Marchese
R446 Discovery Miles 4 460 Ships in 12 - 17 working days

Learn robotics through magic, or enhance your magic with robotics! This book is a beginner's guide to creating robotics-infused magic. You'll be introduced to simple DIY electronics and Arduino programming, and you will learn how to use those tools to create a treasure trove of magic bots and effects, with readily-sourced materials and everyday objects. It's magic through the lens of the Maker Movement, with a dedication to accessibility -- cardboard meets Arduino meets magic! All ages, backgrounds, and abilities will find clever, fun projects within these pages that challenge their creativity and explode their imagination.

Embedded Systems Fundamentals with Arm Cortex M Based Microcontrollers - A Practical Approach (Paperback, FRDM-KL25Z Edition):... Embedded Systems Fundamentals with Arm Cortex M Based Microcontrollers - A Practical Approach (Paperback, FRDM-KL25Z Edition)
Alexander G Dean
R1,105 Discovery Miles 11 050 Ships in 10 - 15 working days

Microcontrollers are embedded into larger systems to provide benefits such as better performance, more features, better efficiency, lower costs and better dependability. This textbook introduces students to creating microcontroller-based embedded systems featuring an ARM Cortex-M CPU core.

An appendix covers how to measure the power and energy use on the FRDM-KL25Z board, including disconnecting the debug MCU to reduce power. Energy measurement using an ultracapacitor is also presented.

For use in ECE, EE, and CS departments.

Computer Time Travel - How to build a microprocessor from transistors (Hardcover): J.S. Walker Computer Time Travel - How to build a microprocessor from transistors (Hardcover)
J.S. Walker
R960 Discovery Miles 9 600 Ships in 10 - 15 working days
The AVR Microcontroller and Embedded Systems Using Assembly and C - Using Arduino Uno and Atmel Studio (Paperback): Sarmad... The AVR Microcontroller and Embedded Systems Using Assembly and C - Using Arduino Uno and Atmel Studio (Paperback)
Sarmad Naimi, Muhammad Ali Mazidi, Sepehr Naimi
R889 Discovery Miles 8 890 Ships in 10 - 15 working days
Sae J1939 ECU Programming & Vehicle Bus Simulation with Arduino (Paperback): Wilfried Voss Sae J1939 ECU Programming & Vehicle Bus Simulation with Arduino (Paperback)
Wilfried Voss
R668 Discovery Miles 6 680 Ships in 10 - 15 working days
PIC Projects - A Practical Approach (Paperback, Desktop Ed): H Parchizadeh PIC Projects - A Practical Approach (Paperback, Desktop Ed)
H Parchizadeh
R1,529 Discovery Miles 15 290 Ships in 12 - 17 working days

This book is a collection of projects based around various microcontrollers from the PIC family. The reader is carefully guided through the book, from very simple to more complex projects in order to gradually build their knowledge about PIC microcontrollers and digital electronics in general. On completion of this book, the reader should be able to design and build their own projects and solve other practical problems in digital electronics.

Many books in this area are theory based and can tend toward being overly explanatory in their approach to the subject. Courses are moving towards being more practically oriented and this book provides the ideal companion to students completing projects with PIC microcontrollers.

Model-Based Engineering for Complex Electronic Systems (Hardcover): Peter Wilson, H. Alan Mantooth Model-Based Engineering for Complex Electronic Systems (Hardcover)
Peter Wilson, H. Alan Mantooth
R1,941 R1,730 Discovery Miles 17 300 Save R211 (11%) Ships in 12 - 17 working days

Complete guide to methods, techniques and technologies of model-based engineering design for developing robust electronic systemsGives a toolbox of methods and models to choose from for the task at hand supported by numerous examples of how to put them into practiceShows how to adopt the methods using numerous industrial examples in the context of integrated circuit design

In the electronics industry today consumer demand for devices with hyper-connectivity and mobility has resulted in the development of a complete system on a chip (SoC). Using the old rule of thumb design methods of the past is no longer feasible for these new complex electronic systems. To develop highly successful systems that meet the requirements and quality expectations of customers, engineers now need to use a rigorous, model-based approach in their designs.

This book provides the definitive guide to the techniques, methods and technologies for electronic systems engineers, embedded systems engineers, and hardware and software engineers to carry out model- based electronic system design, as well as for students of IC systems design. Based on the authors considerable industrial experience, the book shows how to implement the methods in the context of integrated circuit design flows.

Complete guide to methods, techniques and technologies of model-based engineering design for developing robust electronic systems.Written by world experts in model-based designwho have considerable industrial experience.Shows how to adopt the methods using numerous industrial examples in the context of integrated circuit design.
"

Makers of the Microchip - A Documentary History of Fairchild Semiconductor (Paperback): Christophe Lecuyer Makers of the Microchip - A Documentary History of Fairchild Semiconductor (Paperback)
Christophe Lecuyer
R1,158 R1,054 Discovery Miles 10 540 Save R104 (9%) Ships in 9 - 15 working days
Embedded Linux Development Using Eclipse (Paperback): Doug Abbott Embedded Linux Development Using Eclipse (Paperback)
Doug Abbott
R1,157 Discovery Miles 11 570 Ships in 12 - 17 working days

The Eclipse environment solves the problem of having to maintain your own Integrated Development Environment (IDE), which is time consuming and costly. Embedded tools can also be easily integrated into Eclipse. The C/C++CDT is ideal for the embedded community with more than 70% of embedded developers using this language to write embedded code. Eclipse simplifies embedded system development and then eases its integration into larger platforms and frameworks.
In this book, Doug Abbott examines Eclipse, an IDE, which can be vital in saving money and time in the design and development of an embedded system. Eclipse was created by IBM in 2001 and then became an open-source project in 2004. Since then it has become the de-facto IDE for embedded developers. Virtually all of the major Linux vendors have adopted this platform, including MontVista, LynuxWorks, and Wind River.
*Details the Eclipse Integrated Development Environment (IDE) essential to streamlining your embedded development process
*Overview of the latest C/C++ Developer's Toolkit (CDT)
*Includes case studies of Eclipse use including Monta Vista, LynuxWorks, and Wind River

Microprocessor Architectures - From VLIW to TTA (Hardcover, New): H Corporaal Microprocessor Architectures - From VLIW to TTA (Hardcover, New)
H Corporaal
R6,339 Discovery Miles 63 390 Ships in 12 - 17 working days

Exploring new trends in computer technology, Corporal introduces an innovative and exciting concept: Transport Triggered Architecture (TTAs). Unlike most traditional architectures, where programmed operations trigger internal data transports, TTAs function through programming the data transports themselves. As a result the new architecture alleviates bottlenecks, allows for new code-generation optimizations and exploits hardware more efficiently. Founded on the author’s recent research, this book evaluates the attributes of different classes of architectures. It demonstrates how TTAs can be used as a template for automatic generation of application-specific processors and highlights their suitability for embedded system design. Several commercial TTA implementations have proven its concepts and advantages.

Features includes:

  • Complexity analysis of the data path of Instruction Level Parallel processors, particularly of VLIW (Very Long Instruction Word) and super-pipelined processors
  • Derivation of the transport triggering concept illustrating processor simplification
  • In-depth analysis of the architecture design space of TTAs and evaluation of architecture parameters
  • Examination of the control and pipelining of instruction, function and register units
  • Description of a trajectory for the automatic synthesis of TTAs for arbitrary applications written in a high-level language
  • Detailed description of a prototype TTA processor enabling the reader to design an embedded computer system with excellent cost-performance ratio

Microprocessor Architectures is cutting-edge text which will prove invaluable to both industrial hardware and software engineers involved in embedded system design and to postgraduate electrical engineering and computer science students. This clearly-structured reference demonstrates the versatility of TTAs and explores their influential role in the next generation of computer architecture.

Microcontroller Projects in C for the 8051 Family (Paperback, Reprint): Dogan Ibrahim Microcontroller Projects in C for the 8051 Family (Paperback, Reprint)
Dogan Ibrahim
R1,605 R1,489 Discovery Miles 14 890 Save R116 (7%) Ships in 12 - 17 working days

This book is a thoroughly practical way to explore the 8051 and discover C programming through project work. Through graded projects, Dogan Ibrahim introduces the reader to the fundamentals of microelectronics, the 8051 family, programming in C, and the use of a C compiler. The specific device used for examples is the AT89C2051 - a small, economical chip with re-writable memory, readily available from the major component suppliers.
A working knowledge of microcontrollers, and how to program them, is essential for all students of electronics. In this rapidly expanding field many students and professionals at all levels need to get up to speed with practical microcontroller applications. Their rapid fall in price has made microcontrollers the most exciting and accessible new development in electronics for years - rendering them equally popular with engineers, electronics hobbyists and teachers looking for a fresh range of projects.

Microcontroller Projects in C for the 8051 is an ideal resource for self-study as well as providing an interesting, enjoyable and easily mastered alternative to more theoretical textbooks.
Dogan Ibrahim has been Associate Professor and Head of Department at the Near East University, Cyprus, lecturer at South Bank University, London, Principal Research Engineer at GEC Hirst Research Centre, and is now a hardware and software systems consultant to London's Traffic Control Systems Unit.
Practical projects that enable students and practitioners to get up and running straight away with 8051 microcontrollers
A hands-on introduction to practical C programming
A wealth of project ideas for students and enthusiasts

Protective Relaying - Theory and Applications (Hardcover, 2nd edition): Walter A Elmore Protective Relaying - Theory and Applications (Hardcover, 2nd edition)
Walter A Elmore
R5,266 Discovery Miles 52 660 Ships in 12 - 17 working days

Targeting the latest microprocessor technologies for more sophisticated applications in the field of power system short circuit detection, this revised and updated source imparts fundamental concepts and breakthrough science for the isolation of faulty equipment and minimization of damage in power system apparatus. The Second Edition clearly describes key procedures, devices, and elements crucial to the protection and control of power system function and stability. It includes chapters and expertise from the most knowledgeable experts in the field of protective relaying, and describes microprocessor techniques and troubleshooting strategies in clear and straightforward language.

Managing Power Electronics - VLSI and DSP-Driven Computer Systems (Hardcover): N Rossetti Managing Power Electronics - VLSI and DSP-Driven Computer Systems (Hardcover)
N Rossetti
R3,796 Discovery Miles 37 960 Ships in 12 - 17 working days

A unique system focus that presents specific solutions for specific appliances
This publication presents state-of-the-art power management techniques for modern electronic appliances that rely on such very large-scale integration (VLSI) chips as CPUs and DSPs. The author thoroughly covers all aspects of the field, including semiconductor manufacturing processes, packages, circuits, functions, and systems. A unique and significant contribution to the field, the publication adopts a "system focus" by first presenting the appliance and then delving into the power management architecture and topologies that best serve each appliance.
In addition to specific techniques and applications, the publication discusses fundamental physical and socioeconomic issues. For example, the author examines Moore's law and its effect on power management and heat dissipation, which points to a future breakthrough needed to continue the fast pace of advancement in the high-tech industry.
The author provides a solid technical foundation and an analysis of popular electronic appliances, including:
* Overview of the semiconductor industry
* Plain-English discussion of semiconductor processes and packages
* Step-by-step guide to analog design building from the transistor to higher-level functions, leading to the implementation of a complete voltage regulator
* Popular DC-DC voltage regulation architectures
* AC-DC architectures for power conversion
* Ultra-portable devices, such as cellular phones, PDAs, and digital still cameras
* Desktop and notebook PCs
The publication concludes with a chapter on special power management topics and an expert forecast of future directions for the field. This is essential reading for researchers, engineers, and designers in the semiconductor and integrated circuits industries. With its extensive use of cross-section drawings as well as transistor circuit schematics, this is also a recommended textbook for advanced undergraduate and graduate courses in computer science and electrical engineering.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Modern System-on-Chip Design on Arm
David Greaves Paperback R1,562 Discovery Miles 15 620
Software Architecture
MC Oussalah Hardcover R3,889 Discovery Miles 38 890
The STM32F103 Arm Microcontroller and…
Sarmad Naimi, Muhammad Ali Mazidi, … Paperback R835 Discovery Miles 8 350
Developing IoT Projects with ESP32…
Vedat Ozan Oner Paperback R1,205 Discovery Miles 12 050
Arduino - A Step-by-Step Guide for…
Daniel Bell Paperback R358 Discovery Miles 3 580
System-on-Chip Design with Arm(R…
Joseph Yiu Paperback R1,067 Discovery Miles 10 670
Arduino - The ultimate Arduino guide for…
Craig Newport Paperback R365 R295 Discovery Miles 2 950
ARM System-on-Chip Architecture - ARM…
Steve Furber Paperback R2,222 Discovery Miles 22 220
Embedded Microprocessor Systems - Real…
Stuart Ball Paperback R1,768 Discovery Miles 17 680
The Application of Programmable DSPs in…
A. Gatherer Hardcover R4,809 Discovery Miles 48 090

 

Partners