0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (6)
  • R250 - R500 (57)
  • R500+ (175)
  • -
Status
Format
Author / Contributor
Publisher

Books > Professional & Technical > Electronics & communications engineering > Electronics engineering > Electronic devices & materials > Microprocessors

Chip War - The Fight for the World's Most Critical Technology (Hardcover): Chris Miller Chip War - The Fight for the World's Most Critical Technology (Hardcover)
Chris Miller
R892 R686 Discovery Miles 6 860 Save R206 (23%) Ships in 10 - 15 working days
Embedded Systems Design with 8051 Microcontrollers - Hardware and Software (Hardcover): Zdravko Karakehayov Embedded Systems Design with 8051 Microcontrollers - Hardware and Software (Hardcover)
Zdravko Karakehayov
R4,772 Discovery Miles 47 720 Ships in 12 - 17 working days

Contains a disk of all the example problems included in the book Embedded systems are altering the landscape of electronics manufacturing worldwide, giving many consumer products sophisticated capabilities undreamt of even a few years ago. The explosive proliferation of built-in computers and the variety of design methods developed in both industry and academia necessitates the sort of pragmatic guidance offered in Embedded Systems Design with 8051 Microcontrollers. This enormously practical reference/text explains the developments in microcontroller technology and provides lucid instructions on its many and varied applications-focusing on the popular 8-bit microcontroller, the 8051, and the 83C552. Outlines a systematic methodology for design of small-scale, control-dominated embedded systems Including end-of-chapter problems that reinforce essential concepts and end-of-chapter references with URLs, Embedded Systems Design with 8051 Microcontrollers reviews basic concepts, from logic gates to Internet appliances considers 8051 and 83C552 microcontrollers as parallel running processors and embedded peripherals introduces a coherent taxonomy and symbols for microcontroller flags provides a succession of assembly language examples such as electromechanical and digital clocks examines digital interfacing at two hierarchical levels: interface to typical system components and interaction with the outside world covers applications of analog interfacing, from elementary forms to advanced designs for speech machines discusses serial interfaces suitable for distributed embedded systems demonstrates the transition from classical design approaches to the hardware-software codesign with case studies of a simplified EPROM programmer and an EPROM emulator and more Profusely illustrated with over 250 drawings and diagrams, this state-of-the-art resource is a must-read reference for electrical, electronics, computer, industrial, and

Formal Verification - An Essential Toolkit for Modern VLSI Design (Paperback, 2nd edition): Erik Seligman, Tom Schubert, M. V.... Formal Verification - An Essential Toolkit for Modern VLSI Design (Paperback, 2nd edition)
Erik Seligman, Tom Schubert, M. V. Achutha Kiran Kumar
R2,414 Discovery Miles 24 140 Ships in 12 - 17 working days

Formal Verification: An Essential Toolkit for Modern VLSI Design, Second Edition presents practical approaches for design and validation, with hands-on advice to help working engineers integrate these techniques into their work. Formal Verification (FV) enables a designer to directly analyze and mathematically explore the quality or other aspects of a Register Transfer Level (RTL) design without using simulations. This can reduce time spent validating designs and more quickly reach a final design for manufacturing. Building on a basic knowledge of SystemVerilog, this book demystifies FV and presents the practical applications that are bringing it into mainstream design and validation processes. New sections cover advanced techniques, and a new chapter, The Road To Formal Signoff, emphasizes techniques used when replacing simulation work with Formal Verification. After reading this book, readers will be prepared to introduce FV in their organization to effectively deploy FV techniques that increase design and validation productivity.

Computers as Components - Principles of Embedded Computing System Design (Paperback, 5th edition): Marilyn Wolf Computers as Components - Principles of Embedded Computing System Design (Paperback, 5th edition)
Marilyn Wolf
R2,155 Discovery Miles 21 550 Ships in 12 - 17 working days
Micro Process Engineering - Fundamentals, Devices, Fabrication, and Applications (Hardcover): Norbert Kockmann Micro Process Engineering - Fundamentals, Devices, Fabrication, and Applications (Hardcover)
Norbert Kockmann; Series edited by Oliver Brand, Gary K. Fedder, Christofer Hierold, Jan G. Korvink, …
R6,087 Discovery Miles 60 870 Out of stock

Advanced Micro & Nanosystems (AMN) provides cutting-edge reviews and detailed case studies by top authors from science and industry, covering technologies, devices and advanced systems from the micro and nano worlds, which together have an immense innovative application potential that opens up with control of shape and function from the atomic level right up to the visible world without any technological gaps.

In this topical volume, authors from leading industrial players and research institutions present a concise and didactical introduction to Micro Process Engineering, the combination of microtechnology and process engineering into a most promising and powerful tool for revolutionizing chemical processes and industrial mass production of bulk materials, fine chemicals, pharmaceuticals and many other products.

The book takes the readers from the fundamentals of engineering methods, transport processes, and fluid dynamics to device conception, simulation and modelling, control interfaces and issues of modularity and compatibility. Fabrication strategies and techniques are examined next, focused on the fabrication of suitable microcomponents from various materials such as metals, polymers, silicon, ceramics and glass. The book concludes with actual applications and operational aspects of micro process systems, giving broad coverage to industrial efforts in America, Europe and Asia as well as laboratory equipment and education.

Real-Time Embedded Multithreading Using ThreadX (Hardcover, 2nd edition): Edward Lamie Real-Time Embedded Multithreading Using ThreadX (Hardcover, 2nd edition)
Edward Lamie
R4,942 Discovery Miles 49 420 Ships in 12 - 17 working days

This second edition of Real-Time Embedded Multithreading contains the fundamentals of developing real-time operating systems and multithreading with all the new functionality of ThreadX Version 5. ThreadX has been deployed in approximately 500 million devices worldwide. General concepts and terminology are detailed along with problem solving of common pitfalls and problems. The features and services of ThreadX are reviewed. The references to a specific processor have been removed to allow for the book to be technology agnostic and applicable to all types of microprocessors that the reader may be working with. Two e-book supplements will be available with registration of your book at www.newnespress.com, the first on ARM processors and the second, ColdFire processors.

Applications of Field-Programmable Gate Arrays in Scientific Research (Hardcover): Hartmut F.-W. Sadrozinski, Jin Yuan Wu Applications of Field-Programmable Gate Arrays in Scientific Research (Hardcover)
Hartmut F.-W. Sadrozinski, Jin Yuan Wu
R4,877 R4,285 Discovery Miles 42 850 Save R592 (12%) Ships in 12 - 17 working days

Focusing on resource awareness in field-programmable gate array (FPGA) design, Applications of Field-Programmable Gate Arrays in Scientific Research covers the principle of FPGAs and their functionality. It explores a host of applications, ranging from small one-chip laboratory systems to large-scale applications in "big science." The book first describes various FPGA resources, including logic elements, RAM, multipliers, microprocessors, and content-addressable memory. It then presents principles and methods for controlling resources, such as process sequencing, location constraints, and intellectual property cores. The remainder of the book illustrates examples of applications in high-energy physics, space, and radiobiology. Throughout the text, the authors remind designers to pay attention to resources at the planning, design, and implementation stages of an FPGA application, in order to reduce the use of limited silicon resources and thereby reduce system cost. Supplying practical know-how on an array of FPGA application examples, this book provides an accessible overview of the use of FPGAs in data acquisition, signal processing, and transmission. It shows how FPGAs are employed in laboratory applications and how they are flexible, low-cost alternatives to commercial data acquisition systems. Web Resource A supporting website at http: //scipp.ucsc.edu/hartmut/FPGA offers more details on FPGA programming and usage. The site contains design elements of the case studies from the book, including VHDL code, detailed schematics of selected projects, photographs, and screen shots.

Mechatronics - Electronic Control Systems in Mechanical and Electrical Engineering (Paperback, 7th edition): W. Bolton Mechatronics - Electronic Control Systems in Mechanical and Electrical Engineering (Paperback, 7th edition)
W. Bolton
R2,681 Discovery Miles 26 810 Ships in 9 - 15 working days

The integration of electronic engineering, mechanical engineering, control and computer engineering - Mechatronics - lies at the heart of the innumerable gadgets, processes and technology without which modern life would seem impossible. From auto-focus cameras to car engine management systems, and from state-of-the-art robots to the humble washing machine, Mechatronics has a hand in them all.

Pilot Protective Relaying (Hardcover): Walter A Elmore Pilot Protective Relaying (Hardcover)
Walter A Elmore
R1,566 Discovery Miles 15 660 Ships in 10 - 15 working days

This lucid, accessible reference/text concentrates on the fundamentals of protective relaying and provides lasting information written in precise, intelligible language. Supplies an encyclopedic bibliography listing many recent professional papers useful to the relay engineer Stressing simplicity and root technology rather than more recent refinements, Pilot Protective Relaying describes the relative qualities of modern transmission line systems covers communications channels explores three-terminal applications details program design for microprocessor relays analyzes single-pole relaying explains how to protect lines equipped with series capacitors includes information on substation automation considers the nature of Intelligent Electronic Devices (IEDs) summarizes substation information management spotlights digital fault recording discusses the influence of mutual, ccvt transients, channel problems, power system swings, evolving faults, and ct saturation on transmission line relaying systems and more Preserving the wisdom of generations of practicing engineers and containing the basic principles of protective relaying and substation control, Pilot Protective Relaying is a key reference for protective relaying, electrical and electronics, power, high-voltage, electrical-power, transmission and distribution, and industrial engineers; and power system researchers and technicians; and the text of choice for students and professionals enrolled in upper-level undergraduate and graduate courses, continuing-education programs, and professional seminars in these disciplines.

Software Architecture 2 (Hardcover): MC Oussalah Software Architecture 2 (Hardcover)
MC Oussalah
R3,965 Discovery Miles 39 650 Ships in 12 - 17 working days

Over the past 20 years, software architectures have significantly contributed to the development of complex and distributed systems. Nowadays, it is recognized that one of the critical problems in the design and development of any complex software system is its architecture, i.e. the organization of its architectural elements. Software Architecture presents the software architecture paradigms based on objects, components, services and models, as well as the various architectural techniques and methods, the analysis of architectural qualities, models of representation of architectural templates and styles, their formalization, validation and testing and finally the engineering approach in which these consistent and autonomous elements can be tackled.

Robot Magic - Beginner Robotics for the Maker and Magician (Paperback): Mario Marchese Robot Magic - Beginner Robotics for the Maker and Magician (Paperback)
Mario Marchese
R455 Discovery Miles 4 550 Ships in 12 - 17 working days

Learn robotics through magic, or enhance your magic with robotics! This book is a beginner's guide to creating robotics-infused magic. You'll be introduced to simple DIY electronics and Arduino programming, and you will learn how to use those tools to create a treasure trove of magic bots and effects, with readily-sourced materials and everyday objects. It's magic through the lens of the Maker Movement, with a dedication to accessibility -- cardboard meets Arduino meets magic! All ages, backgrounds, and abilities will find clever, fun projects within these pages that challenge their creativity and explode their imagination.

Inside the Message Passing Interface - Creating Fast Communication Libraries (Paperback): Alexander Supalov Inside the Message Passing Interface - Creating Fast Communication Libraries (Paperback)
Alexander Supalov
R2,840 R2,147 Discovery Miles 21 470 Save R693 (24%) Ships in 10 - 15 working days

A hands-on guide to writing a Message Passing Interface, this book takes the reader on a tour across major MPI implementations, best optimization techniques, application relevant usage hints, and a historical retrospective of the MPI world, all based on a quarter of a century spent inside MPI. Readers will learn to write MPI implementations from scratch, and to design and optimize communication mechanisms using pragmatic subsetting as the guiding principle. Inside the Message Passing Interface also covers MPI quirks and tricks to achieve best performance. Dr. Alexander Supalov created the Intel Cluster Tools product line, including the Intel MP Library that he designed and led between 2003 and 2015. He invented the common MPICH ABI and also guided Intel efforts in the MPI Forum during the development of the MPI-2.1, MPI-2.2, and MPI-3 standards. Before that, Alexander designed new finite-element mesh-generation methods, contributing to the PARMACS and PARASOL interfaces, and developed the first full MPI-2 and IMPI implementations in the world. He graduated from the Moscow Institute of Physics and Technology in 1990, and earned his PhD in applied mathematics at the Institute of Numerical Mathematics of the Russian Academy of Sciences in 1995. Alexander holds 26 patents (more pending worldwide).

Channel Coding: Theory, Algorithms, and Applications - Academic Press Library in Mobile and Wireless Communications... Channel Coding: Theory, Algorithms, and Applications - Academic Press Library in Mobile and Wireless Communications (Paperback)
David Declercq, Marc Fossorier, Ezio Biglieri
R3,624 R3,289 Discovery Miles 32 890 Save R335 (9%) Ships in 12 - 17 working days

This book gives a review of the principles, methods and techniques of important and emerging research topics and technologies in Channel Coding, including theory, algorithms, and applications. Edited by leading people in the field who, through their reputation, have been able to commission experts to write on a particular topic. With this reference source you will: Quickly grasp a new area of research Understand the underlying principles of a topic and its applications Ascertain how a topic relates to other areas and learn of the research issues yet to be resolved

Beyond BIOS - Developing with the Unified Extensible Firmware Interface, Third Edition (Paperback, 3rd Ed.): Vincent Zimmer,... Beyond BIOS - Developing with the Unified Extensible Firmware Interface, Third Edition (Paperback, 3rd Ed.)
Vincent Zimmer, Michael Rothman, Suresh Marisetty
R2,394 R1,820 Discovery Miles 18 200 Save R574 (24%) Ships in 10 - 15 working days

This book provides an overview of modern boot firmware, including the Unified Extensible Firmware Interface (UEFI) and its associated EFI Developer Kit II (EDKII) firmware. The authors have each made significant contributions to developments in these areas. The reader will learn to use the latest developments in UEFI on modern hardware, including open source firmware and open hardware designs. The book begins with an exploration of interfaces exposed to higher-level software and operating systems, and commences to the left of the boot timeline, describing the flow of typical systems, beginning with the machine restart event. Software engineers working with UEFI will benefit greatly from this book, while specific sections of the book address topics relevant for a general audience: system architects, pre-operating-system application developers, operating system vendors (loader, kernel), independent hardware vendors (such as for plug-in adapters), and developers of end-user applications. As a secondary audience, project technical leaders or managers may be interested in this book to get a feel for what their engineers are doing. The reader will find: An overview of UEFI and underlying Platform Initialization (PI) specifications How to create UEFI applications and drivers Workflow to design the firmware solution for a modern platform Advanced usages of UEFI firmware for security and manageability

CMOS Integrated Switching Power Converters - A Structured Design Approach (Paperback, 2011 ed.): Gerard Villar-Pique, Eduard... CMOS Integrated Switching Power Converters - A Structured Design Approach (Paperback, 2011 ed.)
Gerard Villar-Pique, Eduard Alarcon
R3,231 Discovery Miles 32 310 Ships in 10 - 15 working days

This book describes the structured design and optimization of efficient, energy processing integrated circuits. The approach is multidisciplinary, covering the monolithic integration of IC design techniques, power electronics and control theory. In particular, this book enables readers to conceive, synthesize, design and implement integrated circuits with high-density high-efficiency on-chip switching power regulators. Topics covered encompass the structured design of the on-chip power supply, efficiency optimization, IC-compatible power inductors and capacitors, power MOSFET switches and efficient switch drivers in standard CMOS technologies.

Real-Time Software Design - A Guide for Microprocessor Systems (Paperback, Softcover reprint of the original 1st ed. 1987):... Real-Time Software Design - A Guide for Microprocessor Systems (Paperback, Softcover reprint of the original 1st ed. 1987)
Heller
R1,506 Discovery Miles 15 060 Ships in 10 - 15 working days

Computers these days spend a fairly low fraction of their time computing. In fact, the very word "computer" has become something of a misnomer. In the American History museum of the Smithsonian Institute in Wash ington, D.C., there is an exhibit of early computers. Three features of these machines are striking. First, they are enormous, especially in com parison to their capabilities. The museum visitor who has just come from the Natural History building next door may be reminded of fossilized di nosaur bones. Second, they don't look at all like modern computing ma chines. The cases are made of crude metal or beautifully worked wood, recalling an approach to the design of scientific apparatus which belongs to a previous generation. Lastly, the function of these machines is mainly to compute-to perform rapid arithmetic. The computer of today bears little resemblance in size, form, or function to its ancestors. It is, most obviously, smaller by several orders of mag nitude. Its form has changed from the carefully crafted one-of-a-kind in strument to the mass-produced microchip. But the change in its function is perhaps the most dramatic of all. Instead of being a computing engine, it is a machine for the processing of information. The word "processor" has come into common usage. A processor used to be a central processing unit-a set of wires and vacuum tubes, or later a set of printed circuit boards-which was nestled deep within the computer. Today a processor is an off-the-shelf component."

Submarine Power Cables - Design, Installation, Repair, Environmental Aspects (Paperback, 2009 ed.): Thomas Worzyk Submarine Power Cables - Design, Installation, Repair, Environmental Aspects (Paperback, 2009 ed.)
Thomas Worzyk
R3,473 Discovery Miles 34 730 Ships in 10 - 15 working days

The demand for high-performance submarine power cables is increasing as more and more offshore wind parks are installed, and the national electric grids are interconnected. Submarine power cables are installed for the highest voltages and power to transport electric energy under the sea between islands, countries and even continents. The installation and operation of submarine power cables is much different from land cables. Still, in most textbooks on electrical power systems, information on submarine cables is scarce. This book is closing the gap. Different species of submarine power cables and their application are explained. Students and electric engineers learn on the electric and mechanic properties of submarine cables. Project developers and utility managers will gain useful information on the necessary marine activities such as pre-laying survey, cable lay vessels, guard boats etc., for the submarine cable installation and repair. Investors and decision makers will find an overview on environmental aspects of submarine power cables. A comprehensive reference list is given for those who want further reading.

CMOS Integrated Switching Power Converters - A Structured Design Approach (Hardcover, 2011 ed.): Gerard Villar-Pique, Eduard... CMOS Integrated Switching Power Converters - A Structured Design Approach (Hardcover, 2011 ed.)
Gerard Villar-Pique, Eduard Alarcon
R4,535 Discovery Miles 45 350 Ships in 10 - 15 working days

This book describes the structured design and optimization of efficient, energy processing integrated circuits. The approach is multidisciplinary, covering the monolithic integration of IC design techniques, power electronics and control theory. In particular, this book enables readers to conceive, synthesize, design and implement integrated circuits with high-density high-efficiency on-chip switching power regulators. Topics covered encompass the structured design of the on-chip power supply, efficiency optimization, IC-compatible power inductors and capacitors, power MOSFET switches and efficient switch drivers in standard CMOS technologies.

The Pentium Chronicles - The People, Passion and Politics Behind Intel's Landmark Chips (Paperback): RP Colwell The Pentium Chronicles - The People, Passion and Politics Behind Intel's Landmark Chips (Paperback)
RP Colwell
R1,284 Discovery Miles 12 840 Ships in 12 - 17 working days

"The Pentium Chronicles" describes the architecture and key decisions that shaped the P6, Intel's most successful chip to date. As author Robert Colwell recognizes, success is about learning from others, and "Chronicles" is filled with stories of ordinary, exceptional people as well as frank assessments of "oops" moments, leaving you with a better understanding of what it takes to create and grow a winning product.

Designing Embedded Processors - A Low Power Perspective (Hardcover, 2007 ed.): Joerg Henkel, Sri Parameswaran Designing Embedded Processors - A Low Power Perspective (Hardcover, 2007 ed.)
Joerg Henkel, Sri Parameswaran
R5,368 Discovery Miles 53 680 Ships in 10 - 15 working days

'Designing Embedded Processors' examines the many ways in which processor based systems are designed to allow low power devices. It looks at processor design methods, memory optimization, dynamic voltage scaling methods, compiler methods, and multi processor methods. Each section has an introductory chapter to give a breadth view, and have a few specialist chapters in the area to give a deeper perspective. The book provides a good starting point to engineers in the area, and to research students embarking upon the exciting area of embedded systems and architectures.

Processor Design - System-On-Chip Computing for ASICs and FPGAs (Hardcover, 2007 ed.): Jari Nurmi Processor Design - System-On-Chip Computing for ASICs and FPGAs (Hardcover, 2007 ed.)
Jari Nurmi
R4,597 Discovery Miles 45 970 Ships in 10 - 15 working days

Processor Design provides insight into a number of different flavors of processor architectures and their design, software tool generation, implementation, and verification. After a brief introduction to processor architectures and how processor designers have sometimes failed to deliver what was expected, the authors introduce a generic flow for embedded on-chip processor design and start to explore the vast design space of on-chip processing. The types of processor cores covered include general purpose RISC cores, traditional DSP, a VLIW approach to signal processing, processor cores that can be customized for specific applications, reconfigurable processors, protocol processors, Java engines, and stream processors. Co-processor and multi-core design approaches that deliver application-specific performance over and above that which is available from single-core designs are also described.

Advanced Memory Optimization Techniques for Low-Power Embedded Processors (Hardcover, 2007 ed.): Manish Verma, Peter Marwedel Advanced Memory Optimization Techniques for Low-Power Embedded Processors (Hardcover, 2007 ed.)
Manish Verma, Peter Marwedel
R3,079 Discovery Miles 30 790 Ships in 10 - 15 working days

The design of embedded systems warrants a new perspective because of the following two reasons: Firstly, slow and energy inefficient memory hierarchies have already become the bottleneck of the embedded systems. It is documented in the literature as the memory wall problem. Secondly, the software running on the contemporary embedded devices is becoming increasingly complex. It is also well understood that no silver bullet exists to solve the memory wall problem. Therefore, this book explores a collaborative approach by proposing novel memory hierarchies and software optimization techniques for the optimal utilization of these memory hierarchies. Linking memory architecture design with memory-architecture aware compilation results in fast, energy-efficient and timing predictable memory accesses.

Power-Aware Computer Systems - 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected... Power-Aware Computer Systems - 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers (Paperback, 2005 ed.)
Babak Falsafi, T.N. Vijaykumar
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

Welcome to the proceedings of the Power-Aware Computer Systems (PACS 2004) workshop held in conjunction with the 37th Annual International Sym- sium on Microarchitecture (MICRO-37). The continued increase of power and energy dissipation in computer systems has resulted in higher cost, lower re- ability, and reduced battery life in portable systems. Consequently, power and energy have become ?rst-class constraints at all layers of modern computer s- tems. PACS 2004 is the fourth workshop in its series to explore techniques to reduce power and energy at all levels of computer systems and brings together academic and industry researchers. The papers in these proceedings span a wide spectrum of areas in pow- aware systems. We have grouped the papers into the following categories: (1) microarchitecture- and circuit-level techniques, (2) power-aware memory and interconnect systems, and (3) frequency- and voltage-scaling techniques. The ?rst paper in the microarchitecture group proposes banking and wri- back ?ltering to reduce register ?le power. The second paper in this group - timizes both delay and power of the issue queue by packing two instructions in each issue queue entry and by memorizing upper-order bits of the wake-up tag. The third paper proposes bit slicing the datapath to exploit narrow width operations, and the last paper proposes to migrate application threads from one core to another in a multi-core chip to address thermal problems.

Waste Treatment in the Process Industries (Hardcover): Lawrence K. Wang, Yung-Tse Hung, Howard H Lo, Constantine Yapijakis Waste Treatment in the Process Industries (Hardcover)
Lawrence K. Wang, Yung-Tse Hung, Howard H Lo, Constantine Yapijakis
R6,449 Discovery Miles 64 490 Ships in 12 - 17 working days

Increasing demand on industrial capacity has, as an unintended consequence, produced an accompanying increase in harmful and hazardous wastes. Derived from the second edition of the popular Handbook of Industrial and Hazardous Wastes Treatment, Waste Treatment in the Process Industries outlines the fundamentals and latest developments in waste treatment in various process industries, such as pharmaceuticals, textiles, petroleum, soap, detergent, phosphate, paper, pulp, pesticides, rubber, and power. Comprehensive in scope, it provides information that is directly applicable to daily waste management problems throughout the industry. The book contains in-depth discussions of environmental pollution sources, waste characteristics, control technologies, management strategies, facility innovations, process alternatives, costs, case histories, effluent standards, and future trends for the process industry. It includes extensive bibliographies for each type of industrial process waste treatment or practice, invaluable information to anyone who needs to trace, follow, duplicate, or improve on a specific process waste treatment practice. A quick scan of the chapters and contributors reveals the depth and breadth of the book's coverage. It provides technical and economical information on how to develop the most feasible total environmental control program that can benefit both process industry and local municipalities.

Power-Aware Computer Systems - Third International Workshop, PACS 2003, San Diego, CA, USA, December 1, 2003, Revised Papers... Power-Aware Computer Systems - Third International Workshop, PACS 2003, San Diego, CA, USA, December 1, 2003, Revised Papers (Paperback, 2005 ed.)
Babak Falsafi, T.N. Vijaykumar
R1,615 Discovery Miles 16 150 Ships in 10 - 15 working days

Welcome to the proceedings of the 3rd Power-Aware Computer Systems (PACS 2003) Workshop held in conjunction with the 36th Annual International Symposium on Microarchitecture (MICRO-36). The increase in power and - ergy dissipation in computer systems has begun to limit performance and has also resulted in higher cost and lower reliability. The increase also implies - ducedbatterylifeinportablesystems.Becauseofthemagnitudeoftheproblem, alllevelsofcomputersystems, includingcircuits, architectures, andsoftware, are being employed to address power and energy issues. PACS 2003 was the third workshop in its series to explore power- and energy-awareness at all levels of computer systems and brought together experts from academia and industry. These proceedings include 14 research papers, selected from 43 submissions, spanningawidespectrumofareasinpower-awaresystems.Wehavegrouped the papers into the following categories: (1) compilers, (2) embedded systems, (3) microarchitectures, and (4) cache and memory systems. The ?rst paper on compiler techniques proposes pointer reuse analysis that is biased by runtime information (i.e., the targets of pointers are determined based on the likelihood of their occurrence at runtime) to map accesses to ener- e?cient memory access paths (e.g., avoid tag match). Another paper proposes compiling multiple programs together so that disk accesses across the programs can be synchronized to achieve longer sleep times in disks than if the programs are optimized separat

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
System-Level Design Techniques for…
Marcus T. Schmitz, Bashir M. Al-Hashimi, … Hardcover R3,090 Discovery Miles 30 900
Power-Aware Computer Systems - Second…
Babak Falsafi, T.N. Vijaykumar Paperback R1,615 Discovery Miles 16 150
Power Aware Computing
Robert Graybill, Rami Melhem Hardcover R6,122 Discovery Miles 61 220
Soft Computing in Industrial Electronics
Seppo J. Ovaska, Les M. Sztandera Hardcover R4,715 Discovery Miles 47 150
Model Engineering in Mixed-Signal…
Sorin Alexander Huss Hardcover R4,594 Discovery Miles 45 940
Energy Efficient Microprocessor Design
Thomas D. Burd, Robert W. Brodersen Hardcover R3,021 Discovery Miles 30 210
Power-Aware Computer Systems - First…
B. Falsafi, T.N. Vijaykumar Paperback R1,575 Discovery Miles 15 750
VLSI Memory Chip Design
Kiyoo Itoh Hardcover R6,839 Discovery Miles 68 390
SPECC: Specification Language and…
Daniel D. Gajski, Jianwen Zhu, … Hardcover R4,694 Discovery Miles 46 940
Reconfigurable and Adaptive Computing…
Nadia Nedjah, Chao Wang Paperback R2,191 Discovery Miles 21 910

 

Partners