0
Your cart

Your cart is empty

Browse All Departments
  • All Departments
Price
  • R2,500 - R5,000 (2)
  • -
Status
Brand

Showing 1 - 2 of 2 matches in All Departments

Poly-SiGe for MEMS-above-CMOS Sensors (Paperback, Softcover reprint of the original 1st ed. 2014): Pilar Gonzalez Ruiz, Kristin... Poly-SiGe for MEMS-above-CMOS Sensors (Paperback, Softcover reprint of the original 1st ed. 2014)
Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw
R3,617 Discovery Miles 36 170 Ships in 10 - 15 working days

Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.

Poly-SiGe for MEMS-above-CMOS Sensors (Hardcover, 2014 ed.): Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw Poly-SiGe for MEMS-above-CMOS Sensors (Hardcover, 2014 ed.)
Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw
R3,862 Discovery Miles 38 620 Ships in 10 - 15 working days

Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
SanDisk SDSQUNR-032G-GN3MN memory card…
R107 Discovery Miles 1 070
HP 330 Wireless Keyboard and Mouse Combo
R800 R450 Discovery Miles 4 500
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Casio LW-200-7AV Watch with 10-Year…
R999 R884 Discovery Miles 8 840
Southpaw
Jake Gyllenhaal, Forest Whitaker, … DVD R99 R24 Discovery Miles 240
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Poor Things
Emma Stone, Mark Ruffalo, … DVD R357 Discovery Miles 3 570
Bostik Easy Tear Tape (12mm x 33m)
R14 Discovery Miles 140
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300

 

Partners