0
Your cart

Your cart is empty

Browse All Departments
Price
  • R500+ (83)
  • -
Status
Format
Author / Contributor
Publisher

Books > Academic & Education > Professional & Technical > Computing

Curves and Surfaces for CAGD - A Practical Guide (Hardcover, 5th edition): Gerald Farin Curves and Surfaces for CAGD - A Practical Guide (Hardcover, 5th edition)
Gerald Farin
R2,446 Discovery Miles 24 460 Ships in 12 - 17 working days


This fifth edition has been fully updated to cover the many advances made in CAGD and curve and surface theory since 1997, when the fourth edition appeared. Material has been restructured into theory and applications chapters. The theory material has been streamlined using the blossoming approach; the applications material includes least squares techniques in addition to the traditional interpolation methods. In all other respects, it is, thankfully, the same. This means you get the informal, friendly style and unique approach that has made "Curves and Surfaces for CAGD: A Practical Guide" a true classic.


The book's unified treatment of all significant methods of curve and surface design is heavily focused on the movement from theory to application. The author provides complete C implementations of many of the theories he discusses, ranging from the traditional to the leading-edge. You'll gain a deep, practical understanding of their advantages, disadvantages, and interrelationships, and in the process you'll see why this book has emerged as a proven resource for thousands of other professionals and academics.
* Provides authoritative and accessible information for those working with or developing computer-aided geometric design applications.
* Covers all significant CAGD curve and surface design techniques-from the traditional to the experimental.
* Includes a new chapter on recursive subdivision and triangular meshes.
* Presents topical programming exercises useful to professionals and students alike.
* Offers complete C implementations of many of the book's examples via a companion Web site.

Understanding Motion Capture for Computer Animation (Paperback, 2nd edition): Alberto Menache Understanding Motion Capture for Computer Animation (Paperback, 2nd edition)
Alberto Menache
R1,304 Discovery Miles 13 040 Ships in 12 - 17 working days

The power of today s motion capture technology has taken animated characters and special effects to amazing new levels of reality. And with the release of blockbusters like Avatar and Tin-Tin, audiences continually expect more from each new release. To live up to these expectations, film and game makers, particularly technical animators and directors, need to be at the forefront of motion capture technology. In this extensively updated edition of "Understanding Motion Capture for Computer Animation and Video Games," an industry insider explains the latest research developments in digital design and film, games, medicine, sports, and security engineering.

This completely updated new edition tells the complete story of motion capture, including the current state-of-the-art technology, methodology, and the explosive growth of the motion capture industry. In-depth technical explanations pair text and code, to help you understand not only the fundamental tenets of motion capture, but also the reasons behind its successes and failures. This timely new edition is an essential resource for anyone producing realistic motion graphics.
Completely revised to include almost 40% new content with emphasis on RF and Facial Motion Capture SystemsCompanion site with source code, motion capture data translator, and example files help you write conversion and motion data manipulation programsDescribes all the mathematical principles associated with motion capture and 3D character mechanicsHelps you budget by explaining the costs associated with individualized motion capture projects "

Embedded Systems Design with Platform FPGAs - Principles and Practices (Hardcover): Ronald Sass, Andrew G. Schmidt Embedded Systems Design with Platform FPGAs - Principles and Practices (Hardcover)
Ronald Sass, Andrew G. Schmidt
R1,813 Discovery Miles 18 130 Ships in 12 - 17 working days

This book will introduce professional engineers and students alike to system development using Platform FPGAs. The focus is on embedded systems but it also serves as a general guide to building custom computing systems. The text describes the fundamental technology in terms of hardware, software, and a set of principles to guide the development of Platform FPGA systems. The goal is to show how to systematically and creatively apply these principles to the construction of application-specific embedded system architectures. There is a strong focus on using free and open source software to increase productivity.

The organization of each chapter in the book includes two parts. The white pages describe concepts, principles, and general knowledge. The gray pages include a technical rendition of the main issues of the chapter and show the concepts applied in practice. This includes step-by-step details for a specific development board and tool chain so that the reader can carry out the same steps on their own. Rather than try to demonstrate the concepts on a broad set of tools and boards, the text uses a single set of tools (Xilinx Platform Studio, Linux, and GNU) throughout and uses a single developer board (Xilinx ML-510) for the examples.
Explains how to use the Platform FPGA to meet complex design requirements and improve product performancePresents both fundamental concepts together with pragmatic, step-by-step instructions for building a system on a Platform FPGAIncludes detailed case studies, extended real-world examples, and lab exercises

An Introduction to Ray Tracing (Hardcover): Andrew S. Glassner An Introduction to Ray Tracing (Hardcover)
Andrew S. Glassner
R1,389 Discovery Miles 13 890 Ships in 12 - 17 working days

The creation of ever more realistic 3-D images is central to the development of computer graphics. The ray tracing technique has become one of the most popular and powerful means by which photo-realistic images can now be created. The simplicity, elegance and ease of implementation makes ray tracing an essential part of understanding and exploiting state-of-the-art computer graphics.
An Introduction to Ray Tracing develops from fundamental principles to advanced applications, providing "how-to" procedures as well as a detailed understanding of the scientific foundations of ray tracing. It is also richly illustrated with four-color and black-and-white plates. This is a book which will be welcomed by all concerned with modern computer graphics, image processing, and computer-aided design.
Key Features
* Provides practical "how-to" information
* Contains high quality color plates of images created using ray tracing techniques
* Progresses from a basic understanding to the advanced science and application of ray tracing

The Designer's Guide to VHDL, Volume 3 (Hardcover, 3rd edition): Peter J Ashenden The Designer's Guide to VHDL, Volume 3 (Hardcover, 3rd edition)
Peter J Ashenden
R1,910 R1,725 Discovery Miles 17 250 Save R185 (10%) Ships in 12 - 17 working days

VHDL, the IEEE standard hardware description language for describing digital electronic systems, has recently been revised. This book has become a standard in the industry for learning the features of VHDL and using it to verify hardware designs. This third edition is the first comprehensive book on the market to address the new features of VHDL-2008.
* First comprehensive book on VHDL to incorporate all new features of VHDL-2008, the latest release of the VHDL standard...helps readers get up to speed quickly with new features of the new standard.
* Presents a structured guide to the modeling facilities offered by VHDL...shows how VHDL functions to help design digital systems.
* Includes extensive case studies and source code used to develop testbenches and case study examples..helps readers gain maximum facility with VHDL for design of digital systems.

The Student's Guide to VHDL (Paperback, 2nd edition): Peter J Ashenden The Student's Guide to VHDL (Paperback, 2nd edition)
Peter J Ashenden
R1,024 Discovery Miles 10 240 Ships in 12 - 17 working days

"The Student's Guide to VHDL" is a condensed edition of "The Designer's Guide to VHDL, " the most widely used textbook on VHDL for digital system modeling. "The Student's Guide" is targeted as a supplemental reference book for computer organization and digital design courses.
Since publication of the first edition of "The Student's Guide, " the IEEE VHDL and related standards have been revised. The "Designer's Guide" has been revised to reflect the changes, so it is appropriate that "The Student's Guide" also be revised.
"In The Student's Guide to VHDL, 2nd Edition, " we have included a design case study illustrating an FPGA-based design flow. The aim is to show how VHDL modeling fits into a design flow, starting from high-level design and proceeding through detailed design and verification, synthesis, FPGA place and route, and final timing verification. Inclusion of the case study helps to better serve the educational market. Currently, most college courses do not formally address the details of design flow. Students may be given informal guidance on how to proceed with lab projects. In many cases, it is left to students to work it out for themselves. The case study in "The Student's Guide" provides a reference design flow that can be adapted to a variety of lab projects.

VHDL-2008 - Just the New Stuff (Paperback, 2008 ed.): Peter J Ashenden, Jim Lewis VHDL-2008 - Just the New Stuff (Paperback, 2008 ed.)
Peter J Ashenden, Jim Lewis
R1,532 R1,353 Discovery Miles 13 530 Save R179 (12%) Ships in 12 - 17 working days

VHDL-2008: Just the New Stuff, as its title says, introduces the new features added to the latest revision of the IEEE standard for the VHDL hardware description language. Written by the Chair and Technical Editor of the IEEE working group, the book is an authoritative guide to how the new features work and how to use them to improve design productivity. It will be invaluable for early adopters of the new language version, for tool implementers, and for those just curious about where VHDL is headed.
* First in the market describing the new features of VHDL 2008;
* Just the new features, so existing users and implementers can focus on what's new;
* Helps readers to learn the new features soon, rather than waiting for new editions of complete VHDL reference books.
* Authoritative, written by experts in the area;
* Tutorial style, making it more accessible than the VHDL Standard Language Reference Manual.

Foundations of Multidimensional and Metric Data Structures (Hardcover): Hanan Samet Foundations of Multidimensional and Metric Data Structures (Hardcover)
Hanan Samet
R2,103 R1,838 Discovery Miles 18 380 Save R265 (13%) Ships in 12 - 17 working days

The field of multidimensional data structures is large and growing very quickly. Here, for the first time, is a thorough treatment of multidimensional point data, object and image-based representations, intervals and small rectangles, and high-dimensional datasets. The book includes a thorough introduction; a comprehensive survey to spatial and multidimensional data structures and algorithms; and implementation details for the most useful data structures. Along with the hundreds of worked exercises and hundreds of illustrations, the result is an excellent and valuable reference tool for professionals in many areas, including computer graphics, databases, geographic information systems (GIS), game programming, image processing, pattern recognition, solid modeling, similarity retrieval, and VLSI design. Award Winner in 2006 Best Book competition in Professional and Scholarly Publishing from the Association of American Publishers.

Morgan Kaufmann would like to congratulate Hanan Samet on receiving the UCGIS 2009 Research Award

Read the announcement here: http: //www.ucgis.org/summer2009/researchaward.htm
* First comprehensive work on multidimensional data structures available, a thorough and authoritative treatment.
* An algorithmic rather than mathematical approach, with a liberal use of examples that allows the readers to easily see the possible implementation and use.
* Each section includes a large number of exercises and solutions to self-test and confirm the reader's understanding and suggest future directions.
* Written by a well-known authority in the area of spatial data structures who has made many significant contributions to the field.
The author's website includes: Spatial Index Demos"

Digital Design and Computer Architecture (Paperback, 2nd edition): David Harris, Sarah L. Harris Digital Design and Computer Architecture (Paperback, 2nd edition)
David Harris, Sarah L. Harris
R2,072 Discovery Miles 20 720 Ships in 12 - 17 working days

"Digital Design and Computer Architecture" takes a unique and modern approach to digital design. Beginning with digital logic gates and progressing to the design of combinational and sequential circuits, Harris and Harris use these fundamental building blocks as the basis for what follows: the design of an actual MIPS processor. SystemVerilog and VHDL are integrated throughout the text in examples illustrating the methods and techniques for CAD-based circuit design. By the end of this book, readers will be able to build their own microprocessor and will have a top-to-bottom understanding of how it works. Harris and Harris have combined an engaging and humorous writing style with an updated and hands-on approach to digital design.

This second edition has been updated with new content on I/O systems in the context of general purpose processors found in a PC as well as microcontrollers found almost everywhere. The new edition provides practical examples of how to interface with peripherals using RS232, SPI, motor control, interrupts, wireless, and analog-to-digital conversion. High-level descriptions of I/O interfaces found in PCs include USB, SDRAM, WiFi, PCI Express, and others. In addition to expanded and updated material throughout, SystemVerilog is now featured in the programming and code examples (replacing Verilog), alongside VHDL. This new edition also provides additional exercises and a new appendix on C programming to strengthen the connection between programming and processor architecture.

SECOND Edition Features Covers the fundamentals of digital logic design and reinforces logic concepts through the design of a MIPS microprocessor.Features side-by-side examples of the two most prominent Hardware Description Languages (HDLs)-SystemVerilog and VHDL-which illustrate and compare the ways each can be used in the design of digital systems.Includes examples throughout the text that enhance the reader s understanding and retention of key concepts and techniques.Companion Web site includes links to CAD tools for FPGA design from Altera and Mentor Graphics, lecture slides, laboratory projects, and solutions to exercises.

Updated based on instructor feedback with more exercises and new examples of parallel and advanced architectures, practical I/O applications, embedded systems, and heterogeneous computing Presents digital system design examples in both VHDL and SystemVerilog (updated for the second edition from Verilog), shown side-by-side to compare and contrast their strengthsIncludes a new chapter on C programming to provide necessary prerequisites and strengthen the connection between programming and processor architectureCompanion Web site includes links to Xilinx CAD tools for FPGA design, lecture slides, laboratory projects, and solutions to exercises.

Instructors can also register at textbooks.elsevier.com for access to: Solutions to all exercises (PDF)Lab materials with solutionsHDL for textbook examples and exercise solutions Lecture slides (PPT)Sample exams\Sample course syllabusFigures from the text (JPG, PPT)"

Synchronous Precharge Logic (Paperback, New): Marek Smoszna Synchronous Precharge Logic (Paperback, New)
Marek Smoszna
R2,298 Discovery Miles 22 980 Ships in 10 - 15 working days

Precharge logic is used by a variety of industries in applications where processor speed is the primary goal, such as VLSI (very large systems integration) applications. Also called dynamic logic, this type of design uses a clock to synchronize instructions in circuits. This comprehensive book covers the challenges faced by designers when using this logic style, including logic basics, timing, noise considerations, alternative topologies and more. In addition advanced topics such as skew tolerant design are covered in some detail. Overall this is a comprehensive view of precharge logic, which should be useful to graduate students and designers in the field alike. It might also be considered as a supplemental title for courses covering VLSI.
Comprehensive guide to precharge logicExplains both the advantages and disadvantages to help engineers decide when to utilize precharge logicUseful for engineers in a variety of industries

Developing Virtual Reality Applications - Foundations of Effective Design (Hardcover, New): Alan B. Craig, William R. Sherman,... Developing Virtual Reality Applications - Foundations of Effective Design (Hardcover, New)
Alan B. Craig, William R. Sherman, Jeffrey D. Will
R2,111 Discovery Miles 21 110 Ships in 12 - 17 working days

Virtual Reality systems enable organizations to cut costs and time, maintain financial and organizational control over the development process, digitally evaluate products before having them created, and allow for greater creative exploration. In this book, VR developers Alan Craig, William Sherman, and Jeffrey Will examine a comprehensive collection of current, unique, and foundational VR applications in a multitude of fields, such as business, science, medicine, art, entertainment, and public safety among others.

An insider s view of what works, what doesn t work, and why, Developing Virtual Reality Applications explores core technical information and background theory as well as the evolution of key applications from their genesis to their most current form. Developmental techniques are cross-referenced between different applications linking information to describe overall VR trends and fundamental best practices. This synergy, coupled with the most up to date research being conducted, provides a hands-on guide for building applications, and an enhanced, panoramic view of VR development. Developing Virtual Reality Applications is an indispensable one-stop reference for anyone working in this burgeoning field.

Dozens of detailed application descriptions provide practical ideas for VR development in ALL areas of interest Development techniques are cross referenced between different application areas, providing fundamental best practices Includes a media-rich companion website with hours of footage from application demonstrations"

Parallel Computational Fluid Dynamics 2006 - Parallel Computing and its Applications (Hardcover, New): Jang-Hyuk Kwon, Jacques... Parallel Computational Fluid Dynamics 2006 - Parallel Computing and its Applications (Hardcover, New)
Jang-Hyuk Kwon, Jacques Periaux, Pat Fox, N. Satofuka, A. Ecer
R5,039 Discovery Miles 50 390 Ships in 10 - 15 working days

The proceedings from Parallel CFD 2006 covers all aspects of parallel computings and its applications. Although CFD is one of basic tools for design procedures to produce machineries, such as automobiles, ships, aircrafts, etc., large scale parallel computing has been realized very recently, especially for the manufactures. Various applications in many areas could be experienced including acoustics, weather prediction and ocean modeling, flow control, turbine flow, fluid-structure interaction, optimization, heat transfer, hydrodynamics.
- Report on current research in the field in an area which is rapidly changing
- Subject is important to all interested in solving large fluid dynamics problems
- Interdisciplinary activity. Contributions include scientists with a variety of backgrounds

System Level Design with Rosetta (Paperback): Perry Alexander System Level Design with Rosetta (Paperback)
Perry Alexander
R2,927 Discovery Miles 29 270 Ships in 10 - 15 working days

The steady and unabated increase in the capacity of silicon has brought the semiconductor industry to a watershed challenge. Now a single chip can integrate a radio transceiver, a network interface, multimedia functions, all the "glue" needed to hold it together as well as a design that allows the hardware and software to be reconfigured for future applications. Such complex heterogeneous systems demand a different design methodology. A consortium of industrial and government labs have created a new language and a new design methodology to support this effort. Rosetta permits designers to specify requirements and constraints independent of their low level implementation and to integrate the designs of domains as distinct as digital and analog electronics, and the mechanical, optical, fluidic and thermal subsystems with which they interact.
In this book, Perry Alexander, one of the developers of Rosetta, provides a tutorial introduction to the language and the system-level design methodology it was designed to support.
* The first commercially published book on this system-level design language
* Teaches you all you need to know on how to specify, define, and generate models in Rosetta
* A presentation of complete case studies analyzing design trade-offs for power consumption, security requirements in a networking environment, and constraints for hardware/software co-design

Parallel Computational Fluid Dynamics 2005 - Theory and Applications (Hardcover, New): A. Deane, Gunther Brenner, David R.... Parallel Computational Fluid Dynamics 2005 - Theory and Applications (Hardcover, New)
A. Deane, Gunther Brenner, David R. Emerson, James McDonough, Damien Tromeur-Dervout, …
R5,672 Discovery Miles 56 720 Ships in 10 - 15 working days

The proceedings from Parallel CFD 2005 covering all aspects of the theory and applications of parallel computational fluid dynamics from the traditional to the more contemporary issues.
- Report on current research in the field in an area which is rapidly changing
- Subject is important to all interested in solving large fluid dynamics problems
- Interdisciplinary activity. Contributions include scientists with a variety of backgrounds

Server Architectures - Multiprocessors, Clusters, Parallel Systems, Web Servers, Storage Solutions (Paperback): Rene J. Chevance Server Architectures - Multiprocessors, Clusters, Parallel Systems, Web Servers, Storage Solutions (Paperback)
Rene J. Chevance
R3,167 Discovery Miles 31 670 Ships in 10 - 15 working days

The goal of this book is to present and compare various options one for systems architecture from two separate points of view. One, that of the information technology decision-maker who must choose a solution matching company business requirements, and secondly that of the systems architect who finds himself between the rock of changes in hardware and software technologies and the hard place of changing business needs.
Different aspects of server architecture are presented, from databases designed for parallel architectures to high-availability systems, and touching en route on often- neglected performance aspects.
1. The book provides IT managers, decision makers and project leaders who want to acquire knowledge sufficient to understand the choices made in and capabilities of systems offered by various vendors:
2. Provides system design information to balance the characteristic applications against the capabilities and nature of various architectural choices
3. In addition, it offers an integrated view of the concepts in server architecture, accompanied by discussion of effects on the evolution of the data processing industry.

Digital Geometry - Geometric Methods for Digital Picture Analysis (Hardcover): Reinhard Klette, Azriel Rosenfeld Digital Geometry - Geometric Methods for Digital Picture Analysis (Hardcover)
Reinhard Klette, Azriel Rosenfeld
R3,171 Discovery Miles 31 710 Ships in 10 - 15 working days

Digital geometry is about deriving geometric information from digital pictures. The field emerged from its mathematical roots some forty-years ago through work in computer-based imaging, and it is used today in many fields, such as digital image processing and analysis (with applications in medical imaging, pattern recognition, and robotics) and of course computer graphics. Digital Geometry is the first book to detail the concepts, algorithms, and practices of the discipline. This comphrehensive text and reference provides an introduction to the mathematical foundations of digital geometry, some of which date back to ancient times, and also discusses the key processes involved, such as geometric algorithms as well as operations on pictures.
*A comprehensive text and reference written by pioneers in digital geometry, image processing and analysis, and computer vision
*Provides a collection of state-of-the-art algorithms for a wide variety of geometrical picture analysis tasks, including extracting data from digital images and making geometric measurements on the data
*Includes exercises, examples, and references to related or more advanced work

Principles and Practices of Interconnection Networks (Hardcover, New): William James Dally, Brian Patrick Towles Principles and Practices of Interconnection Networks (Hardcover, New)
William James Dally, Brian Patrick Towles
R1,951 Discovery Miles 19 510 Ships in 12 - 17 working days

One of the greatest challenges faced by designers of digital systems is optimizing the communication and interconnection between system components. Interconnection networks offer an attractive and economical solution to this communication crisis and are fast becoming pervasive in digital systems. Current trends suggest that this communication bottleneck will be even more problematic when designing future generations of machines. Consequently, the anatomy of an interconnection network router and science of interconnection network design will only grow in importance in the coming years.
This book offers a detailed and comprehensive presentation of the basic principles of interconnection network design, clearly illustrating them with numerous examples, chapter exercises, and case studies. It incorporates hardware-level descriptions of concepts, allowing a designer to see all the steps of the process from abstract design to concrete implementation.
-Case studies throughout the book draw on extensive author experience in designing interconnection networks over a period of more than twenty years, providing real world examples of what works, and what doesn't.
-Tightly couples concepts with implementation costs to facilitate a deeper understanding of the tradeoffs in the design of a practical network.
-A set of examples and exercises in every chapter help the reader to fully understand all the implications of every design decision.

Modeling Embedded Systems and SoC's - Concurrency and Time in Models of Computation (Hardcover, New): Axel Jantsch Modeling Embedded Systems and SoC's - Concurrency and Time in Models of Computation (Hardcover, New)
Axel Jantsch
R2,618 Discovery Miles 26 180 Ships in 10 - 15 working days

Over the last decade, advances in the semiconductor fabrication process have led to the realization of true system-on-a-chip devices. But the theories, methods and tools for designing, integrating and verifying these complex systems have not kept pace with our ability to build them. System level design is a critical component in the search for methods to develop designs more productively. However, there are a number of challenges that must be overcome in order to implement system level modeling.
This book directly addresses that need by developing organizing principles for understanding, assessing, and comparing the different models of computation necessary for system level modeling. Dr. Axel Jantsch identifies the representation of time as the essential feature for distinguishing these models. After developing this conceptual framework, he presents a single formalism for representing very different models, allowing them to be easily compared. As a result, designers, students, and researchers are able to identify the role and the features of the "right" model of computation for the task at hand.
*Offers a unique and significant contribution to the emerging field of models of computation
*Presents a systematic way of understanding and applying different Models of Computation to embedded systems and SoC design
*Offers insights and illustrative examples for practioners, researchers and students of complex electronic systems design.

Network Processor Design - Issues and Practices (Paperback, New): Mark A. Franklin, Patrick Crowley, Haldun Hadimioglu, Peter... Network Processor Design - Issues and Practices (Paperback, New)
Mark A. Franklin, Patrick Crowley, Haldun Hadimioglu, Peter Z. Onufryk
R3,053 Discovery Miles 30 530 Ships in 10 - 15 working days


As the demand for digital communication networks has increased, so have the challenges in network component design. To meet ever-escalating performance, flexibility, and economy requirements, the networking industry has opted to build products around network processors. These new chips range from task-specific processors, such as classification and encryption engines, to more general-purpose packet or communications processors. Programmable yet application-specific, their designs are tailored to efficiently implement communications applications such as routing, protocol analysis, voice and data convergence, firewalls, VPNs, and QoS.


Network processor design is an emerging field with issues and opportunities both numerous and formidable. To help meet this challenge, the editors of this volume created the first Workshop on Network Processors, a forum for scientists and engineers from academia and industry to discuss their latest research in the architecture, design, programming, and use of these devices. In addition to including the results of the Workshop in this volume, the editors also present specially commissioned material from practicing designers, who discuss their companies' latest network processors. "Network Processor Design: Issues and Practices" is an essential reference on network processors for graduate students, researchers, and practicing designers.
* Includes contributions from major academic and industrial research labs including Aachen University of Technology; Cisco Systems; Infineon Technologies; Intel Corp.; North Carolina State University; Swiss Federal Institute of Technology; University of California, Berkeley; University of Dortmund; University of Washington; and Washington University.
* Examines the latest network processors from Agere Systems, Cisco, IBM, Intel, Motorola, Sierra Inc., and TranSwitch.

Readings in Hardware/Software Co-Design (Paperback): Giovanni De Micheli, Rolf Ernst, Wayne Wolf Readings in Hardware/Software Co-Design (Paperback)
Giovanni De Micheli, Rolf Ernst, Wayne Wolf
R3,737 Discovery Miles 37 370 Ships in 10 - 15 working days

Embedded system designers are constantly looking for new tools and techniques to help satisfy the exploding demand for consumer information appliances and specialized industrial products. One critical barrier to the timely release of embedded system products is integrating the design of the hardware and software systems. Hardware/software co-design is a set of methodologies and techniques specifically created to support the concurrent design of both systems, effectively reducing multiple iterations and major redesigns. In addition to its critical role in the development of embedded systems, many experts believe that co-design will be a key design methodology for Systems-on-a-Chip.


"Readings in Hardware/Software Co-Design" presents the papers that have shaped the hardware/software co-design field since its inception in the early 90s. Field experts -- Giovanni De Micheli, Rolf Ernst, and Wayne Wolf -- introduce sections of the book, and provide context for the paper that follow. This collection provides professionals, researchers and graduate students with a single reference source for this critical aspect of computing design.
* Over 50 peer-reviewed papers written from leading researchers and designers in the field
* Selected, edited, and introduced by three of the fields' most eminent researchers and educators
* Accompanied by an annually updated companion Web site with links and references to recently published papers, providing a forum for the editors to comment on how recent work continues or breaks with previous work in the field

Elsevier's Dictionary of Computer Graphics - In English, German, French and Russian (Hardcover, 1st ed): P. Manoilov, G.... Elsevier's Dictionary of Computer Graphics - In English, German, French and Russian (Hardcover, 1st ed)
P. Manoilov, G. Manoilov, B. Delijska
R7,979 Discovery Miles 79 790 Ships in 10 - 15 working days

"Elsevier's Dictionary of Computer Graphics" contains 10,540 terms with more than 2,600 cross-references that are commonly used in the theory and practice of computer graphics. Included are terms from all areas related to a) the theory of computer graphics - descriptive geometry, projective geometry, topology, fractal geometry, color science; and b) the practice of computer graphics - computer-aided design (CAD) systems, technical drawing, computer art, computer animation, business graphics, scientific visualization, virtual reality, graphical programming, image processing, graphical computer devices.


As well as the commonly used terms in the above-mentioned areas, the dictionary also includes terms that are currently coming into use, especially in the areas of computer-aided design systems, computer art, computer animation, virtual reality and graphical programming.


Elsevier's Dictionary of Computer Graphics will be a valuable tool for engineers, scientists, artists, students and for everyone who takes an interest in computer graphics.

Skew-Tolerant Circuit Design (Paperback): David Harris Skew-Tolerant Circuit Design (Paperback)
David Harris
R2,315 Discovery Miles 23 150 Ships in 10 - 15 working days


As advances in technology and circuit design boost operating frequencies of microprocessors, DSPs and other fast chips, new design challenges continue to emerge. One of the major performance limitations in today's chip designs is clock skew, the uncertainty in arrival times between a pair of clocks. Increasing clock frequencies are forcing many engineers to rethink their timing budgets and to use skew-tolerant circuit techniques for both domino and static circuits. While senior designers have long developed their own techniques for reducing the sequencing overhead of domino circuits, this knowledge has routinely been protected as trade secret and has rarely been shared. Skew-Tolerant Circuit Design presents a systematic way of achieving the same goal and puts it in the hands of all designers.


This book clearly presents skew-tolerant techniques and shows how they address the challenges of clocking, latching, and clock skew. It provides the practicing circuit designer with a clearly detailed tutorial and an insightful summary of the most recent literature on these critical clock skew issues.
* Synthesizes the most recent advances in skew-tolerant design in one cohesive tutorial
* Provides incisive instruction and advice punctuated by humorous illustrations
* Includes exercises to test understanding of key concepts and solutions to selected exercises

The MIPS Programmer's Handbook (Paperback): Erin Farquhar, Philip J. Bunce The MIPS Programmer's Handbook (Paperback)
Erin Farquhar, Philip J. Bunce
R2,214 Discovery Miles 22 140 Ships in 10 - 15 working days

A hands-on view of the highly successful MIPS family of microprocessors, written for programmers developing systems applications for the MIPS platform.

"The MIPS Programmer's Handbook" describes the MIPS architecture from the perspective of assembly- and C-language programmers, with special emphasis on issues related to embedded applications. Engineers writing system-level programs for MIPS-based embedded systems will find the topic selection especially useful including the sections on software conventions, initializing the processor in a bare machine environment, and writing exception handlers.

For convenient use, the instruction set reference is presented with only one page per instruction. The authors focus on the instructions available to assembly-language programmers, rather than on the hardware-level instruction set documented in data books released by vendors of the MIPS processor. Provides enough detail for anyone doing serious system-level programming. Also included are ten complete program examples, with line-by-line explanations.

Several sample sections are available from the authors' website.

An Introduction to Splines for Use in Computer Graphics and Geometric Modeling (Paperback, New edition): Richard H. Bartels,... An Introduction to Splines for Use in Computer Graphics and Geometric Modeling (Paperback, New edition)
Richard H. Bartels, John C. Beatty, Brian A. Barsky
R2,210 Discovery Miles 22 100 Ships in 10 - 15 working days

As the field of computer graphics develops, techniques for modeling complex curves and surfaces are increasingly important. A major technique is the use of parametric splines in which a curve is defined by piecing together a succession of curve segments, and surfaces are defined by stitching together a mosaic of surface patches.

"An Introduction to Splines for Use in Computer Graphics and Geometric Modeling" discusses the use of splines from the point of view of the computer scientist. Assuming only a background in beginning calculus, the authors present the material using many examples and illustrations with the goal of building the reader's intuition. Based on courses given at the University of California, Berkeley, and the University of Waterloo, as well as numerous ACM Siggraph tutorials, the book includes the most recent advances in computer-aided geometric modeling and design to make spline modeling techniques generally accessible to the computer graphics and geometric modeling communities.

Cache and Memory Hierarchy Design - A Performance Directed Approach (Hardcover): Steven A. Przybylski Cache and Memory Hierarchy Design - A Performance Directed Approach (Hardcover)
Steven A. Przybylski
R2,173 Discovery Miles 21 730 Ships in 10 - 15 working days

An authoritative book for hardware and software designers. Caches are by far the simplest and most effective mechanism for improving computer performance. This innovative book exposes the characteristics of performance-optimal single and multi-level cache hierarchies by approaching the cache design process through the novel perspective of minimizing execution times. It presents useful data on the relative performance of a wide spectrum of machines and offers empirical and analytical evaluations of the underlying phenomena. This book will help computer professionals appreciate the impact of caches and enable designers to maximize performance given particular implementation constraints.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Memory Systems - Cache, DRAM, Disk
Bruce Jacob, David Wang, … Hardcover R2,630 Discovery Miles 26 300
Networked Graphics - Building Networked…
Anthony Steed, Manuel Oliveira Hardcover R1,752 R1,615 Discovery Miles 16 150
Temporal QOS Management in Scientific…
Xiao Liu, Jinjun Chen, … Paperback R1,066 R972 Discovery Miles 9 720
Parallel Computer Architecture - A…
David Culler, J.P. Singh, … Hardcover R2,898 Discovery Miles 28 980
Complete Maya Programming - An Extensive…
David Gould Paperback R1,648 Discovery Miles 16 480
The System Designer's Guide to VHDL-AMS…
Peter J Ashenden, Gregory D. Peterson, … Paperback R2,349 Discovery Miles 23 490
GPU Computing Gems Jade Edition
Wen-Mei W. Hwu Hardcover R1,675 Discovery Miles 16 750
Logical Effort - Designing Fast CMOS…
Ivan Sutherland, Robert F Sproull, … Paperback R1,631 Discovery Miles 16 310
Advanced RenderMan - Creating CGI for…
Anthony A. Apodaca, Larry Gritz Paperback R1,333 Discovery Miles 13 330
Processor Description Languages, Volume…
Prabhat Mishra, Nikil Dutt Hardcover R1,880 Discovery Miles 18 800

 

Partners