0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (8)
  • R250 - R500 (55)
  • R500+ (75)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Mainframes & minicomputers

Modern Mainframe Development (Paperback): Tom Taulli Modern Mainframe Development (Paperback)
Tom Taulli
R1,542 R1,351 Discovery Miles 13 510 Save R191 (12%) Ships in 12 - 17 working days

Even as spending on digital transformation continues to skyrocket, mainframes nevertheless have major advantages for global enterprises. These systems still process huge amounts of information and allow for highly secure transactions. In this practical book, author Tom Taulli shows software developers how to pursue a hybrid approach by integrating traditional mainframes and applications with modern digital systems. By the end of this book, you'll have a solid understanding of the mainframe architecture and ecosystem, including core concepts and technologies such as COBOL, REXX, JCL, Db2, VSAM, and CICS. You'll also learn how to blend in newer technologies such as the cloud, AI and machine learning, and microservices. This handbook is indispensable for enterprises looking to thrive in the new digital world. Learn strategies and approaches for mainframe DevOps Create, update, and maintain existing mainframe code, and analyze and resolve common errors Apply modern approaches to the mainframe, including microservices, APIs, cloud, and AI and machine learning Work with datasets and databases and put together effective reports Understand how to work with modern cloud systems, like AWS, for pursuing data migration

Simulation and Optimization of Digital Circuits - Considering and Mitigating Destabilizing Factors (Paperback, Softcover... Simulation and Optimization of Digital Circuits - Considering and Mitigating Destabilizing Factors (Paperback, Softcover reprint of the original 1st ed. 2018)
Vazgen Melikyan
R3,746 Discovery Miles 37 460 Ships in 10 - 15 working days

This book describes new, fuzzy logic-based mathematical apparatus, which enable readers to work with continuous variables, while implementing whole circuit simulations with speed, similar to gate-level simulators and accuracy, similar to circuit-level simulators. The author demonstrates newly developed principles of digital integrated circuit simulation and optimization that take into consideration various external and internal destabilizing factors, influencing the operation of digital ICs. The discussion includes factors including radiation, ambient temperature, electromagnetic fields, and climatic conditions, as well as non-ideality of interconnects and power rails.

Group Representation for Quantum Theory (Paperback, Softcover reprint of the original 1st ed. 2017): Masahito Hayashi Group Representation for Quantum Theory (Paperback, Softcover reprint of the original 1st ed. 2017)
Masahito Hayashi
R4,508 Discovery Miles 45 080 Ships in 10 - 15 working days

This book explains the group representation theory for quantum theory in the language of quantum theory. As is well known, group representation theory is very strong tool for quantum theory, in particular, angular momentum, hydrogen-type Hamiltonian, spin-orbit interaction, quark model, quantum optics, and quantum information processing including quantum error correction. To describe a big picture of application of representation theory to quantum theory, the book needs to contain the following six topics, permutation group, SU(2) and SU(d), Heisenberg representation, squeezing operation, Discrete Heisenberg representation, and the relation with Fourier transform from a unified viewpoint by including projective representation. Unfortunately, although there are so many good mathematical books for a part of six topics, no book contains all of these topics because they are too segmentalized. Further, some of them are written in an abstract way in mathematical style and, often, the materials are too segmented. At least, the notation is not familiar to people working with quantum theory. Others are good elementary books, but do not deal with topics related to quantum theory. In particular, such elementary books do not cover projective representation, which is more important in quantum theory. On the other hand, there are several books for physicists. However, these books are too simple and lack the detailed discussion. Hence, they are not useful for advanced study even in physics. To resolve this issue, this book starts with the basic mathematics for quantum theory. Then, it introduces the basics of group representation and discusses the case of the finite groups, the symmetric group, e.g. Next, this book discusses Lie group and Lie algebra. This part starts with the basics knowledge, and proceeds to the special groups, e.g., SU(2), SU(1,1), and SU(d). After the special groups, it explains concrete applications to physical systems, e.g., angular momentum, hydrogen-type Hamiltonian, spin-orbit interaction, and quark model. Then, it proceeds to the general theory for Lie group and Lie algebra. Using this knowledge, this book explains the Bosonic system, which has the symmetries of Heisenberg group and the squeezing symmetry by SL(2,R) and Sp(2n,R). Finally, as the discrete version, this book treats the discrete Heisenberg representation which is related to quantum error correction. To enhance readers' undersnding, this book contains 54 figures, 23 tables, and 111 exercises with solutions.

New Data Structures and Algorithms for Logic Synthesis and Verification (Paperback, Softcover reprint of the original 1st ed.... New Data Structures and Algorithms for Logic Synthesis and Verification (Paperback, Softcover reprint of the original 1st ed. 2017)
Luca Gaetano Amaru
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

This book introduces new logic primitives for electronic design automation tools. The author approaches fundamental EDA problems from a different, unconventional perspective, in order to demonstrate the key role of rethinking EDA solutions in overcoming technological limitations of present and future technologies. The author discusses techniques that improve the efficiency of logic representation, manipulation and optimization tasks by taking advantage of majority and biconditional logic primitives. Readers will be enabled to accelerate formal methods by studying core properties of logic circuits and developing new frameworks for logic reasoning engines.

Automatic Analog IC Sizing and Optimization Constrained with PVT Corners and Layout Effects (Paperback, Softcover reprint of... Automatic Analog IC Sizing and Optimization Constrained with PVT Corners and Layout Effects (Paperback, Softcover reprint of the original 1st ed. 2017)
Nuno Lourenco, Ricardo Martins, Nuno Horta
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book introduces readers to a variety of tools for automatic analog integrated circuit (IC) sizing and optimization. The authors provide a historical perspective on the early methods proposed to tackle automatic analog circuit sizing, with emphasis on the methodologies to size and optimize the circuit, and on the methodologies to estimate the circuit's performance. The discussion also includes robust circuit design and optimization and the most recent advances in layout-aware analog sizing approaches. The authors describe a methodology for an automatic flow for analog IC design, including details of the inputs and interfaces, multi-objective optimization techniques, and the enhancements made in the base implementation by using machine leaning techniques. The Gradient model is discussed in detail, along with the methods to include layout effects in the circuit sizing. The concepts and algorithms of all the modules are thoroughly described, enabling readers to reproduce the methodologies, improve the quality of their designs, or use them as starting point for a new tool. An extensive set of application examples is included to demonstrate the capabilities and features of the methodologies described.

Soft Error Mechanisms, Modeling and Mitigation (Paperback, Softcover reprint of the original 1st ed. 2016): Selahattin Sayil Soft Error Mechanisms, Modeling and Mitigation (Paperback, Softcover reprint of the original 1st ed. 2016)
Selahattin Sayil
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

This book introduces readers to various radiation soft-error mechanisms such as soft delays, radiation induced clock jitter and pulses, and single event (SE) coupling induced effects. In addition to discussing various radiation hardening techniques for combinational logic, the author also describes new mitigation strategies targeting commercial designs. Coverage includes novel soft error mitigation techniques such as the Dynamic Threshold Technique and Soft Error Filtering based on Transmission gate with varied gate and body bias. The discussion also includes modeling of SE crosstalk noise, delay and speed-up effects. Various mitigation strategies to eliminate SE coupling effects are also introduced. Coverage also includes the reliability of low power energy-efficient designs and the impact of leakage power consumption optimizations on soft error robustness. The author presents an analysis of various power optimization techniques, enabling readers to make design choices that reduce static power consumption and improve soft error reliability at the same time.

Invasive Tightly Coupled Processor Arrays (Paperback, Softcover reprint of the original 1st ed. 2016): Vahid Lari Invasive Tightly Coupled Processor Arrays (Paperback, Softcover reprint of the original 1st ed. 2016)
Vahid Lari
R3,446 Discovery Miles 34 460 Ships in 10 - 15 working days

This book introduces new massively parallel computer (MPSoC) architectures called invasive tightly coupled processor arrays. It proposes strategies, architecture designs, and programming interfaces for invasive TCPAs that allow invading and subsequently executing loop programs with strict requirements or guarantees of non-functional execution qualities such as performance, power consumption, and reliability. For the first time, such a configurable processor array architecture consisting of locally interconnected VLIW processing elements can be claimed by programs, either in full or in part, using the principle of invasive computing. Invasive TCPAs provide unprecedented energy efficiency for the parallel execution of nested loop programs by avoiding any global memory access such as GPUs and may even support loops with complex dependencies such as loop-carried dependencies that are not amenable to parallel execution on GPUs. For this purpose, the book proposes different invasion strategies for claiming a desired number of processing elements (PEs) or region within a TCPA exclusively for an application according to performance requirements. It not only presents models for implementing invasion strategies in hardware, but also proposes two distinct design flavors for dedicated hardware components to support invasion control on TCPAs.

FPGAs for Software Programmers (Paperback, Softcover reprint of the original 1st ed. 2016): Dirk Koch, Frank Hannig, Daniel... FPGAs for Software Programmers (Paperback, Softcover reprint of the original 1st ed. 2016)
Dirk Koch, Frank Hannig, Daniel Ziener
R3,229 Discovery Miles 32 290 Ships in 10 - 15 working days

This book makes powerful Field Programmable Gate Array (FPGA) and reconfigurable technology accessible to software engineers by covering different state-of-the-art high-level synthesis approaches (e.g., OpenCL and several C-to-gates compilers). It introduces FPGA technology, its programming model, and how various applications can be implemented on FPGAs without going through low-level hardware design phases. Readers will get a realistic sense for problems that are suited for FPGAs and how to implement them from a software designer's point of view. The authors demonstrate that FPGAs and their programming model reflect the needs of stream processing problems much better than traditional CPU or GPU architectures, making them well-suited for a wide variety of systems, from embedded systems performing sensor processing to large setups for Big Data number crunching. This book serves as an invaluable tool for software designers and FPGA design engineers who are interested in high design productivity through behavioural synthesis, domain-specific compilation, and FPGA overlays. Introduces FPGA technology to software developers by giving an overview of FPGA programming models and design tools, as well as various application examples; Provides a holistic analysis of the topic and enables developers to tackle the architectural needs for Big Data processing with FPGAs; Explains the reasons for the energy efficiency and performance benefits of FPGA processing; Provides a user-oriented approach and a sense for where and how to apply FPGA technology.

Languages, Design Methods, and Tools for Electronic System Design - Selected Contributions from FDL 2015 (Paperback, Softcover... Languages, Design Methods, and Tools for Electronic System Design - Selected Contributions from FDL 2015 (Paperback, Softcover reprint of the original 1st ed. 2016)
Rolf Drechsler, Robert Wille
R2,133 Discovery Miles 21 330 Ships in 10 - 15 working days

This book brings together a selection of the best papers from the eighteenth edition of the Forum on specification and Design Languages Conference (FDL), which took place on September 14-16, 2015, in Barcelona, Spain. FDL is a well-established international forum devoted to dissemination of research results, practical experiences and new ideas in the application of specification, design and verification languages to the design, modeling and verification of integrated circuits, complex hardware/software embedded systems, and mixed-technology systems.

Operational Amplifiers - Theory and Design (Paperback, Softcover reprint of the original 3rd ed. 2017): Johan Huijsing Operational Amplifiers - Theory and Design (Paperback, Softcover reprint of the original 3rd ed. 2017)
Johan Huijsing
R4,047 Discovery Miles 40 470 Ships in 10 - 15 working days

This proven textbook guides readers to a thorough understanding of the theory and design of operational amplifiers (OpAmps). The core of the book presents systematically the design of operational amplifiers, classifying them into a periodic system of nine main overall configurations, ranging from one gain stage up to four or more stages. This division enables circuit designers to recognize quickly, understand, and choose optimal configurations. Characterization of operational amplifiers is given by macro models and error matrices, together with measurement techniques for their parameters. Definitions are given for four types of operational amplifiers depending on the grounding of their input and output ports. Many famous designs are evaluated in depth, using a carefully structured approach enhanced by numerous figures. In order to reinforce the concepts introduced and facilitate self-evaluation of design skills, the author includes problems with detailed solutions, as well as simulation exercises.

Analog Integrated Circuit Design Automation - Placement, Routing and Parasitic Extraction Techniques (Paperback, Softcover... Analog Integrated Circuit Design Automation - Placement, Routing and Parasitic Extraction Techniques (Paperback, Softcover reprint of the original 1st ed. 2017)
Ricardo Martins, Nuno Lourenco, Nuno Horta
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book introduces readers to a variety of tools for analog layout design automation. After discussing the placement and routing problem in electronic design automation (EDA), the authors overview a variety of automatic layout generation tools, as well as the most recent advances in analog layout-aware circuit sizing. The discussion includes different methods for automatic placement (a template-based Placer and an optimization-based Placer), a fully-automatic Router and an empirical-based Parasitic Extractor. The concepts and algorithms of all the modules are thoroughly described, enabling readers to reproduce the methodologies, improve the quality of their designs, or use them as starting point for a new tool. All the methods described are applied to practical examples for a 130nm design process, as well as placement and routing benchmark sets.

Carbon Nanotubes for Interconnects - Process, Design and Applications (Paperback, Softcover reprint of the original 1st ed.... Carbon Nanotubes for Interconnects - Process, Design and Applications (Paperback, Softcover reprint of the original 1st ed. 2017)
Aida Todri-Sanial, Jean Dijon, Antonio Maffucci
R2,974 Discovery Miles 29 740 Ships in 10 - 15 working days

This book provides a single-source reference on the use of carbon nanotubes (CNTs) as interconnect material for horizontal, on-chip and 3D interconnects. The authors demonstrate the uses of bundles of CNTs, as innovative conducting material to fabricate interconnect through-silicon vias (TSVs), in order to improve the performance, reliability and integration of 3D integrated circuits (ICs). This book will be first to provide a coherent overview of exploiting carbon nanotubes for 3D interconnects covering aspects from processing, modeling, simulation, characterization and applications. Coverage also includes a thorough presentation of the application of CNTs as horizontal on-chip interconnects which can potentially revolutionize the nanoelectronics industry. This book is a must-read for anyone interested in the state-of-the-art on exploiting carbon nanotubes for interconnects for both 2D and 3D integrated circuits.

Reversible and Quantum Circuits - Optimization and Complexity Analysis (Paperback, Softcover reprint of the original 1st ed.... Reversible and Quantum Circuits - Optimization and Complexity Analysis (Paperback, Softcover reprint of the original 1st ed. 2016)
Nabila Abdessaied, Rolf Drechsler
R2,145 Discovery Miles 21 450 Ships in 10 - 15 working days

This book presents a new optimization flow for quantum circuits realization. At the reversible level, optimization algorithms are presented to reduce the quantum cost. Then, new mapping approaches to decompose reversible circuits to quantum circuits using different quantum libraries are described. Finally, optimization techniques to reduce the quantum cost or the delay are applied to the resulting quantum circuits. Furthermore, this book studies the complexity of reversible circuits and quantum circuits from a theoretical perspective.

Engineering Applications of FPGAs - Chaotic Systems, Artificial Neural Networks, Random Number Generators, and Secure... Engineering Applications of FPGAs - Chaotic Systems, Artificial Neural Networks, Random Number Generators, and Secure Communication Systems (Paperback, Softcover reprint of the original 1st ed. 2016)
Esteban Tlelo-Cuautle, Jose de Jesus Rangel-Magdaleno, Luis Gerardo de la Fraga
R3,390 Discovery Miles 33 900 Ships in 10 - 15 working days

This book offers readers a clear guide to implementing engineering applications with FPGAs, from the mathematical description to the hardware synthesis, including discussion of VHDL programming and co-simulation issues. Coverage includes FPGA realizations such as: chaos generators that are described from their mathematical models; artificial neural networks (ANNs) to predict chaotic time series, for which a discussion of different ANN topologies is included, with different learning techniques and activation functions; random number generators (RNGs) that are realized using different chaos generators, and discussions of their maximum Lyapunov exponent values and entropies. Finally, optimized chaotic oscillators are synchronized and realized to implement a secure communication system that processes black and white and grey-scale images. In each application, readers will find VHDL programming guidelines and computer arithmetic issues, along with co-simulation examples with Active-HDL and Simulink.The whole book provides a practical guide to implementing a variety of engineering applications from VHDL programming and co-simulation issues, to FPGA realizations of chaos generators, ANNs for chaotic time-series prediction, RNGs and chaotic secure communications for image transmission.

CMOS Circuits for Biological Sensing and Processing (Paperback, Softcover reprint of the original 1st ed. 2018): Srinjoy Mitra,... CMOS Circuits for Biological Sensing and Processing (Paperback, Softcover reprint of the original 1st ed. 2018)
Srinjoy Mitra, David R. S. Cumming
R4,271 Discovery Miles 42 710 Ships in 10 - 15 working days

This book provides the most comprehensive and consistent survey of the field of IC design for Biological Sensing and Processing. The authors describe a multitude of applications that require custom CMOS IC design and highlight the techniques in analog and mixed-signal circuit design that potentially can cross boundaries and benefit the very wide community of bio-medical engineers.

3D Stacked Chips - From Emerging Processes to Heterogeneous Systems (Paperback, Softcover reprint of the original 1st ed.... 3D Stacked Chips - From Emerging Processes to Heterogeneous Systems (Paperback, Softcover reprint of the original 1st ed. 2016)
Ibrahim (Abe) M Elfadel, Gerhard Fettweis
R2,628 Discovery Miles 26 280 Ships in 10 - 15 working days

This book explains for readers how 3D chip stacks promise to increase the level of on-chip integration, and to design new heterogeneous semiconductor devices that combine chips of different integration technologies (incl. sensors) in a single package of the smallest possible size. The authors focus on heterogeneous 3D integration, addressing some of the most important challenges in this emerging technology, including contactless, optics-based, and carbon-nanotube-based 3D integration, as well as signal-integrity and thermal management issues in copper-based 3D integration. Coverage also includes the 3D heterogeneous integration of power sources, photonic devices, and non-volatile memories based on new materials systems.

Applied Research of Quantum Information Based on Linear Optics (Paperback, Softcover reprint of the original 1st ed. 2016):... Applied Research of Quantum Information Based on Linear Optics (Paperback, Softcover reprint of the original 1st ed. 2016)
Xiao-Ye Xu
R3,372 Discovery Miles 33 720 Ships in 10 - 15 working days

This thesis reports on outstanding work in two main subfields of quantum information science: one involves the quantum measurement problem, and the other concerns quantum simulation. The thesis proposes using a polarization-based displaced Sagnac-type interferometer to achieve partial collapse measurement and its reversal, and presents the first experimental verification of the nonlocality of the partial collapse measurement and its reversal. All of the experiments are carried out in the linear optical system, one of the earliest experimental systems to employ quantum communication and quantum information processing. The thesis argues that quantum measurement can yield quantum entanglement recovery, which is demonstrated by using the frequency freedom to simulate the environment. Based on the weak measurement theory, the author proposes that white light can be used to precisely estimate phase, and effectively demonstrates that the imaginary part of the weak value can be introduced by means of weak measurement evolution. Lastly, a nine-order polarization-based displaced Sagnac-type interferometer employing bulk optics is constructed to perform quantum simulation of the Landau-Zener evolution, and by tuning the system Hamiltonian, the first experiment to research the Kibble-Zurek mechanism in non-equilibrium kinetics processes is carried out in the linear optical system.

Reliable Software for Unreliable Hardware - A Cross Layer Perspective (Paperback, Softcover reprint of the original 1st ed.... Reliable Software for Unreliable Hardware - A Cross Layer Perspective (Paperback, Softcover reprint of the original 1st ed. 2016)
Semeen Rehman, Muhammad Shafique, Joerg Henkel
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

This book describes novel software concepts to increase reliability under user-defined constraints. The authors' approach bridges, for the first time, the reliability gap between hardware and software. Readers will learn how to achieve increased soft error resilience on unreliable hardware, while exploiting the inherent error masking characteristics and error (stemming from soft errors, aging, and process variations) mitigations potential at different software layers.

Memory Controllers for Mixed-Time-Criticality Systems - Architectures, Methodologies and Trade-offs (Paperback, Softcover... Memory Controllers for Mixed-Time-Criticality Systems - Architectures, Methodologies and Trade-offs (Paperback, Softcover reprint of the original 1st ed. 2016)
Sven Goossens, Karthik Chandrasekar, Benny Akesson, Kees Goossens
R3,620 Discovery Miles 36 200 Ships in 10 - 15 working days

This book discusses the design and performance analysis of SDRAM controllers that cater to both real-time and best-effort applications, i.e. mixed-time-criticality memory controllers. The authors describe the state of the art, and then focus on an architecture template for reconfigurable memory controllers that addresses effectively the quickly evolving set of SDRAM standards, in terms of worst-case timing and power analysis, as well as implementation. A prototype implementation of the controller in SystemC and synthesizable VHDL for an FPGA development board are used as a proof of concept of the architecture template.

SystemVerilog Assertions and Functional Coverage - Guide to Language, Methodology and Applications (Paperback, Softcover... SystemVerilog Assertions and Functional Coverage - Guide to Language, Methodology and Applications (Paperback, Softcover reprint of the original 2nd ed. 2016)
Ashok B. Mehta
R5,804 Discovery Miles 58 040 Ships in 10 - 15 working days

This book provides a hands-on, application-oriented guide to the language and methodology of both SystemVerilog Assertions and SystemVerilog Functional Coverage. Readers will benefit from the step-by-step approach to functional hardware verification using SystemVerilog Assertions and Functional Coverage, which will enable them to uncover hidden and hard to find bugs, point directly to the source of the bug, provide for a clean and easy way to model complex timing checks and objectively answer the question 'have we functionally verified everything'. Written by a professional end-user of ASIC/SoC/CPU and FPGA design and Verification, this book explains each concept with easy to understand examples, simulation logs and applications derived from real projects. Readers will be empowered to tackle the modeling of complex checkers for functional verification, thereby drastically reducing their time to design and debug. This updated second edition addresses the latest functional set released in IEEE-1800 (2012) LRM, including numerous additional operators and features. Additionally, many of the Concurrent Assertions/Operators explanations are enhanced, with the addition of more examples and figures. * Covers in its entirety the latest IEEE-1800 2012 LRM syntax and semantics; * Covers both SystemVerilog Assertions and SystemVerilog Functional Coverage language and methodologies; * Provides practical examples of the what, how and why of Assertion Based Verification and Functional Coverage methodologies; * Explains each concept in a step-by-step fashion and applies it to a practical real life example; * Includes 6 practical LABs that enable readers to put in practice the concepts explained in the book.

Smart Systems Integration and Simulation (Paperback, Softcover reprint of the original 1st ed. 2016): Nicola Bombieri, Massimo... Smart Systems Integration and Simulation (Paperback, Softcover reprint of the original 1st ed. 2016)
Nicola Bombieri, Massimo Poncino, Graziano Pravadelli
R3,212 Discovery Miles 32 120 Ships in 10 - 15 working days

This book-presents new methods and tools for the integration and simulation of smart devices. The design approach described in this book explicitly accounts for integration of Smart Systems components and subsystems as a specific constraint. It includes methodologies and EDA tools to enable multi-disciplinary and multi-scale modeling and design, simulation of multi-domain systems, subsystems and components at all levels of abstraction, system integration and exploration for optimization of functional and non-functional metrics. By covering theoretical and practical aspects of smart device design, this book targets people who are working and studying on hardware/software modelling, component integration and simulation under different positions (system integrators, designers, developers, researchers, teachers, students etc.). In particular, it is a good introduction to people who have interest in managing heterogeneous components in an efficient and effective way on different domains and different abstraction levels. People active in smart device development can understand both the current status of practice and future research directions. * Provides a comprehensive overview of smart systems design, focusing on design challenges and cutting-edge solutions; * Enables development of a co-simulation and co-design environment that accounts for the peculiarities of the basic subsystems and components to be integrated; * Describes development of modeling and design techniques, methods and tools that enable multi-domain simulation and optimization at various levels of abstraction and across different technological domains.

Techniques for Building Timing-Predictable Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2016): Nan... Techniques for Building Timing-Predictable Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2016)
Nan Guan
R1,557 Discovery Miles 15 570 Ships in 10 - 15 working days

This book describes state-of-the-art techniques for designing real-time computer systems. The author shows how to estimate precisely the effect of cache architecture on the execution time of a program, how to dispatch workload on multicore processors to optimize resources, while meeting deadline constraints, and how to use closed-form mathematical approaches to characterize highly variable workloads and their interaction in a networked environment. Readers will learn how to deal with unpredictable timing behaviors of computer systems on different levels of system granularity and abstraction.

Hardware Protection through Obfuscation (Hardcover, 1st ed. 2017): Domenic Forte, Swarup Bhunia, Mark M. Tehranipoor Hardware Protection through Obfuscation (Hardcover, 1st ed. 2017)
Domenic Forte, Swarup Bhunia, Mark M. Tehranipoor
R5,806 Discovery Miles 58 060 Ships in 10 - 15 working days

This book introduces readers to various threats faced during design and fabrication by today's integrated circuits (ICs) and systems. The authors discuss key issues, including illegal manufacturing of ICs or "IC Overproduction," insertion of malicious circuits, referred as "Hardware Trojans", which cause in-field chip/system malfunction, and reverse engineering and piracy of hardware intellectual property (IP). The authors provide a timely discussion of these threats, along with techniques for IC protection based on hardware obfuscation, which makes reverse-engineering an IC design infeasible for adversaries and untrusted parties with any reasonable amount of resources. This exhaustive study includes a review of the hardware obfuscation methods developed at each level of abstraction (RTL, gate, and layout) for conventional IC manufacturing, new forms of obfuscation for emerging integration strategies (split manufacturing, 2.5D ICs, and 3D ICs), and on-chip infrastructure needed for secure exchange of obfuscation keys- arguably the most critical element of hardware obfuscation.

New Data Structures and Algorithms for Logic Synthesis and Verification (Hardcover, 1st ed. 2017): Luca Gaetano Amaru New Data Structures and Algorithms for Logic Synthesis and Verification (Hardcover, 1st ed. 2017)
Luca Gaetano Amaru
R2,277 Discovery Miles 22 770 Ships in 10 - 15 working days

This book introduces new logic primitives for electronic design automation tools. The author approaches fundamental EDA problems from a different, unconventional perspective, in order to demonstrate the key role of rethinking EDA solutions in overcoming technological limitations of present and future technologies. The author discusses techniques that improve the efficiency of logic representation, manipulation and optimization tasks by taking advantage of majority and biconditional logic primitives. Readers will be enabled to accelerate formal methods by studying core properties of logic circuits and developing new frameworks for logic reasoning engines.

Handheld and Ubiquitous Computing - First International Symposium, HUC'99, Karlsruhe, Germany, September 27-29, 1999,... Handheld and Ubiquitous Computing - First International Symposium, HUC'99, Karlsruhe, Germany, September 27-29, 1999, Proceedings (Paperback, 1999 ed.)
Hans W. Gellersen
R1,728 Discovery Miles 17 280 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the First International Symposium on Handheld and Ubiquitous Computing, HUC'99, held in Karlsruhe, Germany in September 1999.The 23 revised full papers presented together with four invited keynote contributions, 26 reviewed posters, and two panel statements were carefully reviewed and selected from a large number of paper submissions. The papers are organized in topical sections on handheld and wearable appliances, location-based services, smart devices and smart environments, handhelds in distributed systems, handheld and wearable CSCW, context-aware mobile applications, interacting with environment, and interacting with handhelds.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Logic and Computation - Interactive…
Lawrence C. Paulson Paperback R1,537 Discovery Miles 15 370
Computer System Design: System-on-Chip
M. J Flynn Hardcover R2,146 Discovery Miles 21 460
Recent Advances in Control and…
George Yin, Qing Zhang Paperback R1,541 Discovery Miles 15 410
iPhone 12 User Guide
Pogue Davis Derek Paperback R499 Discovery Miles 4 990
RPG TNT - 101 Dynamite Tips 'N…
Bob Cozzi Paperback R1,851 R1,311 Discovery Miles 13 110
iPhone 11, 11 Pro & Pro Max
Melissa L. Moody Paperback R407 Discovery Miles 4 070
iPhone 13 Pro Max User Guide - Learn All…
Martin Brooker Paperback R345 Discovery Miles 3 450
Adobe Photoshop Lightroom Classic 2021…
Curtis Campbell Paperback R618 Discovery Miles 6 180
Foundations of Hardware IP Protection
Lilian Bossuet, Lionel Torres Hardcover R3,759 Discovery Miles 37 590
Raspberry Pi 3 in Easy Steps
Mike McGrath Paperback  (1)
R357 R307 Discovery Miles 3 070

 

Partners