0
Your cart

Your cart is empty

Browse All Departments
  • All Departments
Price
  • R1,000 - R2,500 (2)
  • R2,500 - R5,000 (3)
  • R5,000 - R10,000 (2)
  • -
Status
Brand

Showing 1 - 7 of 7 matches in All Departments

Delay Fault Testing for VLSI Circuits (Paperback, Softcover reprint of the original 1st ed. 1998): Angela Krstic, Kwang-Ting... Delay Fault Testing for VLSI Circuits (Paperback, Softcover reprint of the original 1st ed. 1998)
Angela Krstic, Kwang-Ting (Tim) Cheng
R4,458 Discovery Miles 44 580 Ships in 10 - 15 working days

With the ever-increasing speed of integrated circuits, violations of the performance specifications are becoming a major factor affecting the product quality level. The need for testing timing defects is further expected to grow with the current design trend of moving towards deep submicron devices. After a long period of prevailing belief that high stuck-at fault coverage is sufficient to guarantee high quality of shipped products, the industry is now forced to rethink other types of testing. Delay testing has been a topic of extensive research both in industry and in academia for more than a decade. As a result, several delay fault models and numerous testing methodologies have been proposed. Delay Fault Testing for VLSI Circuits presents a selection of existing delay testing research results. It combines introductory material with state-of-the-art techniques that address some of the current problems in delay testing. Delay Fault Testing for VLSI Circuits covers some basic topics such as fault modeling and test application schemes for detecting delay defects.It also presents summaries and conclusions of several recent case studies and experiments related to delay testing. A selection of delay testing issues and test techniques such as delay fault simulation, test generation, design for testability and synthesis for testability are also covered. Delay Fault Testing for VLSI Circuits is intended for use by CAD and test engineers, researchers, tool developers and graduate students. It requires a basic background in digital testing. The book can used as supplementary material for a graduate-level course on VLSI testing.

Formal Equivalence Checking and Design Debugging (Paperback, Softcover reprint of the original 1st ed. 1998): Shi-Yu Huang,... Formal Equivalence Checking and Design Debugging (Paperback, Softcover reprint of the original 1st ed. 1998)
Shi-Yu Huang, Kwang-Ting (Tim) Cheng
R5,235 Discovery Miles 52 350 Ships in 10 - 15 working days

Formal Equivalence Checking and Design Debugging covers two major topics in design verification: logic equivalence checking and design debugging. The first part of the book reviews the design problems that require logic equivalence checking and describes the underlying technologies that are used to solve them. Some novel approaches to the problems of verifying design revisions after intensive sequential transformations such as retiming are described in detail. The second part of the book gives a thorough survey of previous and recent literature on design error diagnosis and design error correction. This part also provides an in-depth analysis of the algorithms used in two logic debugging software programs, ErrorTracer and AutoFix, developed by the authors. From the Foreword: `With the adoption of the static sign-off approach to verifying circuit implementations the application-specific integrated circuit (ASIC) industry will experience the first radical methodological revolution since the adoption of logic synthesis. Equivalence checking is one of the two critical elements of this methodological revolution. This book is timely for either the designer seeking to better understand the mechanics of equivalence checking or for the CAD researcher who wishes to investigate well-motivated research problems such as equivalence checking of retimed designs or error diagnosis in sequential circuits.' Kurt Keutzer, University of California, Berkeley

Delay Fault Testing for VLSI Circuits (Hardcover, 1998 ed.): Angela Krstic, Kwang-Ting (Tim) Cheng Delay Fault Testing for VLSI Circuits (Hardcover, 1998 ed.)
Angela Krstic, Kwang-Ting (Tim) Cheng
R4,611 Discovery Miles 46 110 Ships in 10 - 15 working days

With the ever-increasing speed of integrated circuits, violations of the performance specifications are becoming a major factor affecting the product quality level. The need for testing timing defects is further expected to grow with the current design trend of moving towards deep submicron devices. After a long period of prevailing belief that high stuck-at fault coverage is sufficient to guarantee high quality of shipped products, the industry is now forced to rethink other types of testing. Delay testing has been a topic of extensive research both in industry and in academia for more than a decade. As a result, several delay fault models and numerous testing methodologies have been proposed. Delay Fault Testing for VLSI Circuits presents a selection of existing delay testing research results. It combines introductory material with state-of-the-art techniques that address some of the current problems in delay testing. Delay Fault Testing for VLSI Circuits covers some basic topics such as fault modeling and test application schemes for detecting delay defects. It also presents summaries and conclusions of several recent case studies and experiments related to delay testing. A selection of delay testing issues and test techniques such as delay fault simulation, test generation, design for testability and synthesis for testability are also covered. Delay Fault Testing for VLSI Circuits is intended for use by CAD and test engineers, researchers, tool developers and graduate students. It requires a basic background in digital testing. The book can used as supplementary material for a graduate-level course on VLSI testing.

Formal Equivalence Checking and Design Debugging (Hardcover, 1998 ed.): Shi-Yu Huang, Kwang-Ting (Tim) Cheng Formal Equivalence Checking and Design Debugging (Hardcover, 1998 ed.)
Shi-Yu Huang, Kwang-Ting (Tim) Cheng
R5,401 Discovery Miles 54 010 Ships in 10 - 15 working days

Formal Equivalence Checking and Design Debugging covers two major topics in design verification: logic equivalence checking and design debugging. The first part of the book reviews the design problems that require logic equivalence checking and describes the underlying technologies that are used to solve them. Some novel approaches to the problems of verifying design revisions after intensive sequential transformations such as retiming are described in detail. The second part of the book gives a thorough survey of previous and recent literature on design error diagnosis and design error correction. This part also provides an in-depth analysis of the algorithms used in two logic debugging software programs, ErrorTracer and AutoFix, developed by the authors. From the Foreword: With the adoption of the static sign-off approach to verifying circuit implementations the application-specific integrated circuit (ASIC) industry will experience the first radical methodological revolution since the adoption of logic synthesis. Equivalence checking is one of the two critical elements of this methodological revolution. This book is timely for either the designer seeking to better understand the mechanics of equivalence checking or for the CAD researcher who wishes to investigate well-motivated research problems such as equivalence checking of retimed designs or error diagnosis in sequential circuits.' Kurt Keutzer, University of California, Berkeley

Unified Methods for VLSI Simulation and Test Generation (Hardcover, 1989 ed.): Kwang-Ting (Tim) Cheng, Vishwani D. Agrawal Unified Methods for VLSI Simulation and Test Generation (Hardcover, 1989 ed.)
Kwang-Ting (Tim) Cheng, Vishwani D. Agrawal
R3,054 Discovery Miles 30 540 Ships in 10 - 15 working days
VLSI Test Principles and Architectures - Design for Testability (Hardcover, New): Laung-terng Wang, Cheng-Wen Wu, Xiaoqing Wen VLSI Test Principles and Architectures - Design for Testability (Hardcover, New)
Laung-terng Wang, Cheng-Wen Wu, Xiaoqing Wen; Contributions by Khader S. Abdel-Hafez, Soumendu Bhattacharya, …
R1,920 Discovery Miles 19 200 Ships in 12 - 17 working days

This book is a comprehensive guide to new DFT methods that will show the readers how to design a testable and quality product, drive down test cost, improve product quality and yield, and speed up time-to-market and time-to-volume.
. Most up-to-date coverage of design for testability.
. Coverage of industry practices commonly found in commercial DFT tools but not discussed in other books.
. Numerous, practical examples in each chapter illustrating basic VLSI test principles and DFT architectures.
. Lecture slides and exercise solutions for all chapters are now available.
. Instructors are also eligible for downloading PPT slide files and MSWORD solutions files from the manual website.

Electronic Design Automation - Synthesis, Verification, and Test (Hardcover): Laung-terng Wang, Yao-Wen Chang, Kwang-Ting (Tim)... Electronic Design Automation - Synthesis, Verification, and Test (Hardcover)
Laung-terng Wang, Yao-Wen Chang, Kwang-Ting (Tim) Cheng
R2,284 Discovery Miles 22 840 Ships in 12 - 17 working days

This book provides broad and comprehensive coverage of the entire EDA flow. EDA/VLSI practitioners and researchers in need of fluency in an "adjacent" field will find this an invaluable reference to the basic EDA concepts, principles, data structures, algorithms, and architectures for the design, verification, and test of VLSI circuits. Anyone who needs to learn the concepts, principles, data structures, algorithms, and architectures of the EDA flow will benefit from this book.
Covers complete spectrum of the EDA flow, from ESL design modeling to logic/test synthesis, verification, physical design, and test - helps EDA newcomers to get "up-and-running" quickly Includes comprehensive coverage of EDA concepts, principles, data structures, algorithms, and architectures - helps all readers improve their VLSI design competence Contains latest advancements not yet available in other books, including Test compression, ESL design modeling, large-scale floorplanning, placement, routing, synthesis of clock and power/ground networks - helps readers to design/develop testable chips or products Includes industry best-practices wherever appropriate in most chapters - helps readers avoid costly mistakes

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Farm Killings In South Africa
Nechama Brodie Paperback R335 R288 Discovery Miles 2 880
Hani - A Life Too Short
Janet Smith, Beauregard Tromp Paperback R310 R248 Discovery Miles 2 480
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Suid-Afrikaanse Leefstylgids vir…
Vickie de Beer, Kath Megaw, … Paperback R399 R290 Discovery Miles 2 900
Jumbo Jan van Haasteren Comic Jigsaw…
 (1)
R439 R299 Discovery Miles 2 990
Loot
Nadine Gordimer Paperback  (2)
R398 R330 Discovery Miles 3 300
Sony PlayStation Portal Remote Player…
R5,299 Discovery Miles 52 990
Alcolin Mounting Tape 40 Square Pads…
R41 Discovery Miles 410
Magneto Head Light
R99 R84 Discovery Miles 840
Bug-A-Salt 3.0 Black Fly
 (1)
R999 Discovery Miles 9 990

 

Partners