0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (11)
  • R250 - R500 (39)
  • R500+ (3,211)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Computer architecture & logic design

Progress in VLSI Design and Test - 16th International Symposium on VSLI Design and Test, VDAT 2012, Shipur, India, July 1-4,... Progress in VLSI Design and Test - 16th International Symposium on VSLI Design and Test, VDAT 2012, Shipur, India, July 1-4, 2012, Proceedings (Paperback, 2012 ed.)
Hafizur Rahaman, Sanatan Chattopadhyay, Santanu Chattopadhyay
R1,600 Discovery Miles 16 000 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 16th International Symposium on VSLI Design and Test, VDAT 2012, held in Shibpur, India, in July 2012. The 30 revised regular papers presented together with 10 short papers and 13 poster sessions were carefully selected from 135 submissions. The papers are organized in topical sections on VLSI design, design and modeling of digital circuits and systems, testing and verification, design for testability, testing memories and regular logic arrays, embedded systems: hardware/software co-design and verification, emerging technology: nanoscale computing and nanotechnology.

Fifth Generation Computer Systems 1988 - Volume 1 Proceedings of the International Conference on Fifth Generation Computer... Fifth Generation Computer Systems 1988 - Volume 1 Proceedings of the International Conference on Fifth Generation Computer Systems 1988 Tokyo, Japan November 28-December 2, 1988 (Paperback, Softcover reprint of the original 1st ed. 1988)
Institute for New Generation Computer Technology (Icot)
R1,647 Discovery Miles 16 470 Ships in 10 - 15 working days

FGCS'88 was held with the objective of reporting on the current status and results of the research activities conducted by the Institute for New Generation Computer Technology (ICOT), Japan, in the intermediate stage of its FGCS projects, as well as encouraging researchers and representatives from business and the government to present papers, report research results and exchange opinions. The proceedings are published in three volumes. Volume 1 includes the plenary sessions and reports on ICOT research topics. Volumes 2 and 3 include a collection of invited and submitted technical papers in the areas of foundations, software, architecture and applications.

OpenMP in a Heterogeneous World - 8th International Workshop on OpenMP, IWOMP 2012, Rome, Italy, June 11-13, 2012. Proceedings... OpenMP in a Heterogeneous World - 8th International Workshop on OpenMP, IWOMP 2012, Rome, Italy, June 11-13, 2012. Proceedings (Paperback, 2012)
Barbara Chapman, Federico Massaioli, Matthias S. Muller, Marco Rorro
R1,555 Discovery Miles 15 550 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 8th International Workshop on OpenMP, held in in Rome, Italy, in June 2012. The 18 technical full papers presented together with 7 posters were carefully reviewed and selected from 30 submissions. The papers are organized in topical sections on proposed extensions to OpenMP, runtime environments, optimization and accelerators, task parallelism, validations and benchmarks

Designing Network On-Chip Architectures in the Nanoscale Era (Paperback): Jose Flich, Davide Bertozzi Designing Network On-Chip Architectures in the Nanoscale Era (Paperback)
Jose Flich, Davide Bertozzi
R1,933 Discovery Miles 19 330 Ships in 12 - 17 working days

Going beyond isolated research ideas and design experiences, Designing Network On-Chip Architectures in the Nanoscale Era covers the foundations and design methods of network on-chip (NoC) technology. The contributors draw on their own lessons learned to provide strong practical guidance on various design issues. Exploring the design process of the network, the first part of the book focuses on basic aspects of switch architecture and design, topology selection, and routing implementation. In the second part, contributors discuss their experiences in the industry, offering a roadmap to recent products. They describe Tilera's TILE family of multicore processors, novel Intel products and research prototypes, and the TRIPS operand network (OPN). The last part reveals state-of-the-art solutions to hardware-related issues and explains how to efficiently implement the programming model at the network interface. In the appendix, the microarchitectural details of two switch architectures targeting multiprocessor system-on-chips (MPSoCs) and chip multiprocessors (CMPs) can be used as an experimental platform for running tests. A stepping stone to the evolution of future chip architectures, this volume provides a how-to guide for designers of current NoCs as well as designers involved with 2015 computing platforms. It cohesively brings together fundamental design issues, alternative design paradigms and techniques, and the main design tradeoffs-consistently focusing on topics most pertinent to real-world NoC designers.

Sustainable Enterprise Architecture (Paperback): Kirk Hausman Sustainable Enterprise Architecture (Paperback)
Kirk Hausman
R1,899 Discovery Miles 18 990 Ships in 12 - 17 working days

Enterprise architecture requires an understanding of all technologies, strategies, and data consumption throughout the enterprise. To this end, one must strive to always broaden knowledge of existing, as well as emerging trends and solutions. As a trade, this role demands an understanding beyond the specificities of technologies and vendor products. An enterprise architect must be versatile with the design and arrangement of elements in an extended network enterprise. Intended for anyone charged with coordinating enterprise architectural design in a small, medium, or large organization, Sustainable Enterprise Architecture helps you explore the various elements of your own particular network environment to develop strategies for mid- to long-term management and sustainable growth. Organized much like a book on structural architecture, this one starts with a solid foundation of frameworks and general guidelines for enterprise governance and design. The book covers common considerations for all enterprises, and then drills down to specific types of technology that may be found in your enterprise. It explores strategies for protecting enterprise resources and examines technologies and strategies that are only just beginning to take place in the modern enterprise network. Each chapter builds on the knowledge and understanding of topics presented earlier in the book to give you a thorough understanding of the challenges and opportunities in managing enterprise resources within a well-designed architectural strategy. Emphasizing only those strategies that weather change, Sustainable Enterprise Architecture shows you how to evaluate your own unique environment and find alignment with the concepts of sustainability and architecture. It gives you the tools to build solutions and policies to protect your enterprise and allow it to provide the greatest organizational value into the future.

Process-Driven SOA - Patterns for Aligning Business and IT (Paperback): Carsten Hentrich, Uwe Zdun Process-Driven SOA - Patterns for Aligning Business and IT (Paperback)
Carsten Hentrich, Uwe Zdun
R1,816 Discovery Miles 18 160 Ships in 12 - 17 working days

Process-Driven SOA: Patterns for Aligning Business and IT supplies detailed guidance on how to design and build software architectures that follow the principles of business-IT alignment. It illustrates the design process using proven patterns that address complex business/technical scenarios, where integrated concepts of service-oriented architecture (SOA), Business Process Management (BPM), and Event-Driven Architecture (EDA) are required. The book demonstrates that SOA is not limited to technical issues but instead, is a holistic challenge where aspects of SOA, EDA, and BPM must be addressed together. An ideal guide for SOA solution architects, designers, developers, managers, and students about to enter the field, the book: Provides an accessible introduction to basic and more advanced concepts in process-driven SOA Illustrates how to manage the complexities of business aligned IT architectures with detailed examples and industry cases Outlines a step-by-step design process using proven patterns to address complex business/ technical scenarios Integrates SOA, BPM, and EDA into practical patterns promoting SOA 2.0 Describing how to synchronize parallel enterprise processes, the authors explain how to cope with the architectural and design decisions you are likely to encounter when designing and implementing process-driven SOA systems. The decisions are described in the form of software patterns to provide you with a practical guideline for addressing key problems using time-tested solutions.

Introduction to Open Core Protocol - Fastpath to System-on-Chip Design (Hardcover, 2012): W.David Schwaderer Introduction to Open Core Protocol - Fastpath to System-on-Chip Design (Hardcover, 2012)
W.David Schwaderer
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book introduces Open Core Protocol (OCP) not as a conventional hardware communications protocol but as a meta-protocol: a means for describing and capturing the communications requirements of an IP core, and mapping them to a specific set of signals with known semantics. Readers will learn the capabilities of OCP as a semiconductor hardware interface specification that allows different System-On-Chip (SoC) cores to communicate. The OCP methodology presented enables intellectual property designers to design core interfaces in standard ways. This facilitates reusing OCP-compliant cores across multiple SoC designs which, in turn, drastically reduces design times, support costs, and overall cost for electronics/SoCs."

Tree-based Heterogeneous FPGA Architectures - Application Specific Exploration and Optimization (Hardcover, 2012): Umer Farooq,... Tree-based Heterogeneous FPGA Architectures - Application Specific Exploration and Optimization (Hardcover, 2012)
Umer Farooq, Zied Marrakchi, Habib Mehrez
R2,962 Discovery Miles 29 620 Ships in 10 - 15 working days

This book presents a new FPGA architecture known as tree-based FPGA architecture, due to its hierarchical nature. This type of architecture has been relatively unexplored despite their better performance and predictable routing behavior, as compared to mesh-based FPGA architectures. In this book, we explore and optimize the tree-based architecture and we evaluate it by comparing it to equivalent mesh-based FPGA architectures.

Robust Computing with Nano-scale Devices - Progresses and Challenges (Paperback, 2010 ed.): Chao Huang Robust Computing with Nano-scale Devices - Progresses and Challenges (Paperback, 2010 ed.)
Chao Huang
R2,924 Discovery Miles 29 240 Ships in 10 - 15 working days

Robust Nano-Computing focuses on various issues of robust nano-computing, defect-tolerance design for nano-technology at different design abstraction levels. It addresses both redundancy- and configuration-based methods as well as fault detecting techniques through the development of accurate computation models and tools. The contents present an insightful view of the ongoing researches on nano-electronic devices, circuits, architectures, and design methods, as well as provide promising directions for future research.

Pipelined ADC Design and Enhancement Techniques (Paperback, 2010 ed.): Imran Ahmed Pipelined ADC Design and Enhancement Techniques (Paperback, 2010 ed.)
Imran Ahmed
R4,468 Discovery Miles 44 680 Ships in 10 - 15 working days

Pipelined ADCs have seen phenomenal improvements in performance over the last few years. As such, when designing a pipelined ADC a clear understanding of the design tradeoffs, and state of the art techniques is required to implement today's high performance low power ADCs.

Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience,... Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29 -- September 2, 2011, Revised Selected Papers, Part I (Paperback, 2012)
Michael Alexander, Pasqua D'Ambra, Adam Belloum, George Bosilca, Mario Cannataro, …
R1,639 Discovery Miles 16 390 Ships in 10 - 15 working days

This book constitutes thoroughly refereed post-conference proceedings of the workshops of the 17th International Conference on Parallel Computing, Euro-Par 2011, held in Bordeaux, France, in August 2011. The papers of these 12 workshops CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS HPCF, PROPER, CCPI, and VHPC focus on promotion and advancement of all aspects of parallel and distributed computing.

Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience,... Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29 -- September 2, 2011, Revised Selected Papers, Part II (Paperback, 2012)
Michael Alexander, Pasqua D'Ambra, Adam Belloum, George Bosilca, Mario Cannataro, …
R1,625 Discovery Miles 16 250 Ships in 10 - 15 working days

This book constitutes thoroughly refereed post-conference proceedings of the workshops of the 17th International Conference on Parallel Computing, Euro-Par 2011, held in Bordeaux, France, in August 2011. The papers of these 12 workshops CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS HPCF, PROPER, CCPI, and VHPC focus on promotion and advancement of all aspects of parallel and distributed computing.

Supercomputer Architecture (Paperback, Softcover reprint of the original 1st ed. 1987): Paul B. Schneck Supercomputer Architecture (Paperback, Softcover reprint of the original 1st ed. 1987)
Paul B. Schneck
R1,532 Discovery Miles 15 320 Ships in 10 - 15 working days

Supercomputers are the largest and fastest computers available at any point in time. The term was used for the first time in the New York World, March 1920, to describe "new statistical machines with the mental power of 100 skilled mathematicians in solving even highly complex algebraic problems. " Invented by Mendenhall and Warren, these machines were used at Columbia University'S Statistical Bureau. Recently, supercomputers have been used primarily to solve large-scale prob lems in science and engineering. Solutions of systems of partial differential equa tions, such as those found in nuclear physics, meteorology, and computational fluid dynamics, account for the majority of supercomputer use today. The early computers, such as EDVAC, SSEC, 701, and UNIVAC, demonstrated the feasibility of building fast electronic computing machines which could become commercial products. The next generation of computers focused on attaining the highest possible computational speeds. This book discusses the architectural approaches used to yield significantly higher computing speeds while preserving the conventional, von Neumann, machine organization (Chapters 2-4). Subsequent improvements depended on developing a new generation of computers employing a new model of computation: single-instruction multiple data (SIMD) processors (Chapters 5-7). Later machines refmed SIMD architec ture and technology (Chapters 8-9). SUPERCOMPUTER ARCHITECI'URE CHAPTER INTRODUCTION THREE ERAS OF SUPERCOMPUTERS Supercomputers -- the largest and fastest computers available at any point in time -- have been the products of complex interplay among technological, architectural, and algorithmic developments."

The gm/ID Methodology, a sizing tool for low-voltage analog CMOS Circuits - The semi-empirical and compact model approaches... The gm/ID Methodology, a sizing tool for low-voltage analog CMOS Circuits - The semi-empirical and compact model approaches (Paperback, Previously published in hardcover)
Paul Jespers
R3,688 Discovery Miles 36 880 Ships in 10 - 15 working days

IC designers appraise currently MOS transistor geometries and currents to compromise objectives like gain-bandwidth, slew-rate, dynamic range, noise, non-linear distortion, etc. Making optimal choices is a difficult task. How to minimize for instance the power consumption of an operational amplifier without too much penalty regarding area while keeping the gain-bandwidth unaffected in the same time? Moderate inversion yields high gains, but the concomitant area increase adds parasitics that restrict bandwidth. Which methodology to use in order to come across the best compromise(s)? Is synthesis a mixture of design experience combined with cut and tries or is it a constrained multivariate optimization problem, or a mixture? Optimization algorithms are attractive from a system perspective of course, but what about low-voltage low-power circuits, requiring a more physical approach? The connections amid transistor physics and circuits are intricate and their interactions not always easy to describe in terms of existing software packages. The gm/ID synthesis methodology is adapted to CMOS analog circuits for the transconductance over drain current ratio combines most of the ingredients needed in order to determine transistors sizes and DC currents.

Parallel Architectures and Bioinspired Algorithms (Hardcover, 2012 ed.): Francisco Fernandez De Vega, Jose Ignacio Hidalgo... Parallel Architectures and Bioinspired Algorithms (Hardcover, 2012 ed.)
Francisco Fernandez De Vega, Jose Ignacio Hidalgo Perez, Juan Lanchares
R4,518 Discovery Miles 45 180 Ships in 10 - 15 working days

This monograph presents examples of best practices when combining bioinspired algorithms with parallel architectures. The book includes recent work by leading researchers in the field and offers a map with the main paths already explored and new ways towards the future. Parallel Architectures and Bioinspired Algorithms will be of value to both specialists in Bioinspired Algorithms, Parallel and Distributed Computing, as well as computer science students trying to understand the present and the future of Parallel Architectures and Bioinspired Algorithms.

Disruptive Logic Architectures and Technologies - From Device to System Level (Hardcover, 2012): Pierre-Emmanuel Gaillardon,... Disruptive Logic Architectures and Technologies - From Device to System Level (Hardcover, 2012)
Pierre-Emmanuel Gaillardon, Ian O'Connor, Fabien Clermidy
R2,966 Discovery Miles 29 660 Ships in 10 - 15 working days

This book discusses the opportunities offered by disruptive technologies to overcome the economical and physical limits currently faced by the electronics industry. It provides a new methodology for the fast evaluation of an emerging technology from an architectural prospective and discusses the implications from simple circuits to complex architectures. Several technologies are discussed, ranging from 3-D integration of devices (Phase Change Memories, Monolithic 3-D, Vertical NanoWires-based transistors) to dense 2-D arrangements (Double-Gate Carbon Nanotubes, Sublithographic Nanowires, Lithographic Crossbar arrangements). Novel architectural organizations, as well as the associated tools, are presented in order to explore this freshly opened design space.

Parallel Computing - Methods, Algorithms and Applications (Paperback): D.J. Evans, C.N. Sutti Parallel Computing - Methods, Algorithms and Applications (Paperback)
D.J. Evans, C.N. Sutti
R1,899 Discovery Miles 18 990 Ships in 12 - 17 working days

Parallel Computing: Methods, Algorithms and Applications presents a collection of original papers presented at the international meeting on parallel processing, methods, algorithms, and applications at Verona, Italy in September 1989.

A Practical Approach to WBEM/CIM Management (Paperback): Chris Hobbs A Practical Approach to WBEM/CIM Management (Paperback)
Chris Hobbs
R1,905 Discovery Miles 19 050 Ships in 12 - 17 working days

System architects and engineers in fields such as storage networking, desktop computing, electrical power distribution, and telecommunications need a common and flexible way of managing heterogeneous devices and services. Web-Based Enterprise Management (WBEM) and its Component Information Model (CIM) provide the architecture, language, interfaces, and common models for the management of storage, computing, and telecommunication applications. Now there is a practical guide for those who design or implement the emerging WBEM systems or produce a CIM model of a device or service. A Practical Approach to WBEM/CIM Management describes in detail WBEM/CIM architecture and explores the standard models developed by the Distributed Management Task Force (DMTF). It explores the interfaces with which your WBEM/CIM code will have to work, and offers examples of applicable models and related code. This book introduces the components of WBEM architecture, defines models within CIM, and illustrates communication between the WBEM client and server. It also investigates transitioning from SNMP or proprietary systems to WBEM/CIM. Realizing that the field is undergoing a period of massive growth and change, the author focuses primarily on the areas which have been standardized and which differ little between implementations. He does, however, provide coding examples using the openPegasus implementation, demonstrating concepts common to other C++ and Java-based implementations.

Heterogeneous Computing Architectures - Challenges and Vision (Hardcover): Olivier Terzo, Karim Djemame, Alberto Scionti, Clara... Heterogeneous Computing Architectures - Challenges and Vision (Hardcover)
Olivier Terzo, Karim Djemame, Alberto Scionti, Clara Pezuela
R5,644 Discovery Miles 56 440 Ships in 12 - 17 working days

Heterogeneous Computing Architectures: Challenges and Vision provides an updated vision of the state-of-the-art of heterogeneous computing systems, covering all the aspects related to their design: from the architecture and programming models to hardware/software integration and orchestration to real-time and security requirements. The transitions from multicore processors, GPU computing, and Cloud computing are not separate trends, but aspects of a single trend-mainstream; computers from desktop to smartphones are being permanently transformed into heterogeneous supercomputer clusters. The reader will get an organic perspective of modern heterogeneous systems and their future evolution.

Logic Circuit Design - Selected Methods (Hardcover, 2012 ed.): Shimon P. Vingron Logic Circuit Design - Selected Methods (Hardcover, 2012 ed.)
Shimon P. Vingron
R2,983 Discovery Miles 29 830 Ships in 10 - 15 working days

In three main divisions the book covers combinational circuits, latches, and asynchronous sequential circuits. Combinational circuits have no memorising ability, while sequential circuits have such an ability to various degrees. Latches are the simplest sequential circuits, ones with the shortest memory. The presentation is decidedly non-standard. The design of combinational circuits is discussed in an orthodox manner using normal forms and in an unorthodox manner using set-theoretical evaluation formulas relying heavily on Karnaugh maps. The latter approach allows for a new design technique called composition. Latches are covered very extensively. Their memory functions are expressed mathematically in a time-independent manner allowing the use of (normal, non-temporal) Boolean logic in their calculation. The theory of latches is then used as the basis for calculating asynchronous circuits. Asynchronous circuits are specified in a tree-representation, each internal node of the tree representing an internal latch of the circuit, the latches specified by the tree itself. The tree specification allows solutions of formidable problems such as algorithmic state assignment, finding equivalent states non-recursively, and verifying asynchronous circuits.

Supercomputational Science (Paperback, Softcover reprint of the original 1st ed. 1990): R.G. Evans Supercomputational Science (Paperback, Softcover reprint of the original 1st ed. 1990)
R.G. Evans
R1,606 Discovery Miles 16 060 Ships in 10 - 15 working days

In contemporary research, the supercomputer now ranks, along with radio telescopes, particle accelerators and the other apparatus of "big science", as an expensive resource, which is nevertheless essential for state of the art research. Supercomputers are usually provided as shar.ed central facilities. However, unlike, telescopes and accelerators, they are find a wide range of applications which extends across a broad spectrum of research activity. The difference in performance between a "good" and a "bad" computer program on a traditional serial computer may be a factor of two or three, but on a contemporary supercomputer it can easily be a factor of one hundred or even more! Furthermore, this factor is likely to increase with future generations of machines. In keeping with the large capital and recurrent costs of these machines, it is appropriate to devote effort to training and familiarization so that supercomputers are employed to best effect. This volume records the lectures delivered at a Summer School held at The Coseners House in Abingdon, which was an attempt to disseminate research methods in the different areas in which supercomputers are used. It is hoped that the publication of the lectures in this form will enable the experiences and achievements of supercomputer users to be shared with a larger audience. We thank all the lecturers and participants for making the Summer School an enjoyable and profitable experience. Finally, we thank the Science and Engineering Research Council and The Computer Board for supporting the Summer School.

High-/Mixed-Voltage Analog and RF Circuit Techniques for Nanoscale CMOS (Hardcover, 2012): Pui-In Mak, Rui Paulo Martins High-/Mixed-Voltage Analog and RF Circuit Techniques for Nanoscale CMOS (Hardcover, 2012)
Pui-In Mak, Rui Paulo Martins
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book presents high-/mixed-voltage analog and radio frequency (RF) circuit techniques for developing low-cost multistandard wireless receivers in nm-length CMOS processes. Key benefits of high-/mixed-voltage RF and analog CMOS circuits are explained, state-of-the-art examples are studied, and circuit solutions before and after voltage-conscious design are compared. Three real design examples are included, which demonstrate the feasibility of high-/mixed-voltage circuit techniques. Provides a valuable summary and real case studies of the state-of-the-art in high-/mixed-voltage circuits and systems; Includes novel high-/mixed-voltage analog and RF circuit techniques - from concept to practice; Describes the first high-voltage-enabled mobile-TVRF front-end in 90nm CMOS and the first mixed-voltage full-band mobile-TV Receiver in 65nm CMOS;Demonstrates the feasibility of high-/mixed-voltage circuit techniques with real design examples."

Digital Systems Engineering (Paperback): William J. Dally, John W. Poulton Digital Systems Engineering (Paperback)
William J. Dally, John W. Poulton
R2,270 Discovery Miles 22 700 Ships in 12 - 17 working days

What makes some computers slow? What makes some digital systems operate reliably for years while others fail mysteriously every few hours? Why do some systems dissipate kilowatts while others operate off batteries? These questions of speed, reliability, and power are all determined by the system-level electrical design of a digital system. Digital Systems Engineering presents a comprehensive treatment of these topics. It combines a rigorous development of the fundamental principles in each area with down-to-earth examples of circuits and methods that work in practice. The book not only can serve as an undergraduate textbook, filling the gap between circuit design and logic design, but also can help practicing digital designers keep up with the speed and power of modern integrated circuits. The techniques described in this book, which were once used only in supercomputers, are now essential to the correct and efficient operation of any type of digital system.

Scheduling for Parallel Processing (Paperback, 2009 ed.): Maciej Drozdowski Scheduling for Parallel Processing (Paperback, 2009 ed.)
Maciej Drozdowski
R2,991 Discovery Miles 29 910 Ships in 10 - 15 working days

Overview and Goals This book is dedicated to scheduling for parallel processing. Presenting a research ?eld as broad as this one poses considerable dif?culties. Scheduling for parallel computing is an interdisciplinary subject joining many ?elds of science and te- nology. Thus, to understand the scheduling problems and the methods of solving them it is necessary to know the limitations in related areas. Another dif?culty is that the subject of scheduling parallel computations is immense. Even simple search in bibliographical databases reveals thousands of publications on this topic. The - versity in understanding scheduling problems is so great that it seems impossible to juxtapose them in one scheduling taxonomy. Therefore, most of the papers on scheduling for parallel processing refer to one scheduling problem resulting from one way of perceiving the reality. Only a few publications attempt to arrange this ?eld of knowledge systematically. In this book we will follow two guidelines. One guideline is a distinction - tween scheduling models which comprise a set of scheduling problems solved by dedicated algorithms. Thus, the aim of this book is to present scheduling models for parallel processing, problems de?ned on the grounds of certain scheduling models, and algorithms solving the scheduling problems. Most of the scheduling problems are combinatorial in nature. Therefore, the second guideline is the methodology of computational complexity theory. Inthisbookwepresentfourexamplesofschedulingmodels. Wewillgodeepinto the models, problems, and algorithms so that after acquiring some understanding of them we will attempt to draw conclusions on their mutual relationships.

Network-on-Chip Architectures - A Holistic Design Exploration (Paperback, 2010 ed.): Chrysostomos Nicopoulos, Vijaykrishnan... Network-on-Chip Architectures - A Holistic Design Exploration (Paperback, 2010 ed.)
Chrysostomos Nicopoulos, Vijaykrishnan Narayanan, Chita R Das
R4,470 Discovery Miles 44 700 Ships in 10 - 15 working days

[2]. The Cell Processor from Sony, Toshiba and IBM (STI) [3], and the Sun UltraSPARC T1 (formerly codenamed Niagara) [4] signal the growing popularity of such systems. Furthermore, Intel's very recently announced 80-core TeraFLOP chip [5] exemplifies the irreversible march toward many-core systems with tens or even hundreds of processing elements. 1.2 The Dawn of the Communication-Centric Revolution The multi-core thrust has ushered the gradual displacement of the computati- centric design model by a more communication-centric approach [6]. The large, sophisticated monolithic modules are giving way to several smaller, simpler p- cessing elements working in tandem. This trend has led to a surge in the popularity of multi-core systems, which typically manifest themselves in two distinct incarnations: heterogeneous Multi-Processor Systems-on-Chip (MPSoC) and homogeneous Chip Multi-Processors (CMP). The SoC philosophy revolves around the technique of Platform-Based Design (PBD) [7], which advocates the reuse of Intellectual Property (IP) cores in flexible design templates that can be customized accordingly to satisfy the demands of particular implementations. The appeal of such a modular approach lies in the substantially reduced Time-To- Market (TTM) incubation period, which is a direct outcome of lower circuit complexity and reduced design effort. The whole system can now be viewed as a diverse collection of pre-existing IP components integrated on a single die.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Corfu Banquet - A Memoir with Seasonal…
Emma Tennant Electronic book text  (2)
R69 Discovery Miles 690
C++ How to Program: Horizon Edition
Harvey Deitel, Paul Deitel Paperback R1,893 Discovery Miles 18 930
Handbook of Computer Programming with…
Dimitrios Xanthidis, Christos Manolas, … Hardcover R2,805 Discovery Miles 28 050
The PC Graphics Handbook
Julio Sanchez, Maria P. Canton Hardcover R6,352 Discovery Miles 63 520
Compact Numerical Methods for Computers…
John C. Nash Hardcover R3,705 Discovery Miles 37 050
Introduction to C++
George S. Tselikis Hardcover R4,064 Discovery Miles 40 640
Blender 2D Animation - The Complete…
John M. Blain Hardcover R3,554 Discovery Miles 35 540
Matrix Theory and Applications with…
Darald J. Hartfiel Hardcover R3,718 Discovery Miles 37 180
Introduction to the Art of Programming…
Mark C. Lewis Paperback R2,674 Discovery Miles 26 740
Business Modeling with UML - Business…
H.E. Eriksson Paperback R695 R602 Discovery Miles 6 020

 

Partners