0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (10)
  • R250 - R500 (39)
  • R500+ (3,100)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Computer architecture & logic design

High Performance Integer Arithmetic Circuit Design on FPGA - Architecture, Implementation and Design Automation (Paperback,... High Performance Integer Arithmetic Circuit Design on FPGA - Architecture, Implementation and Design Automation (Paperback, Softcover reprint of the original 1st ed. 2016)
Ayan Palchaudhuri, Rajat Subhra Chakraborty
R3,263 Discovery Miles 32 630 Ships in 10 - 15 working days

This book describes the optimized implementations of several arithmetic datapath, controlpath and pseudorandom sequence generator circuits for realization of high performance arithmetic circuits targeted towards a specific family of the high-end Field Programmable Gate Arrays (FPGAs). It explores regular, modular, cascadable and bit-sliced architectures of these circuits, by directly instantiating the target FPGA-specific primitives in the HDL. Every proposed architecture is justified with detailed mathematical analyses. Simultaneously, constrained placement of the circuit building blocks is performed, by placing the logically related hardware primitives in close proximity to one another by supplying relevant placement constraints in the Xilinx proprietary "User Constraints File". The book covers the implementation of a GUI-based CAD tool named FlexiCore integrated with the Xilinx Integrated Software Environment (ISE) for design automation of platform-specific high-performance arithmetic circuits from user-level specifications. This tool has been used to implement the proposed circuits, as well as hardware implementations of integer arithmetic algorithms where several of the proposed circuits are used as building blocks. Implementation results demonstrate higher performance and superior operand-width scalability for the proposed circuits, with respect to implementations derived through other existing approaches. This book will prove useful to researchers, students and professionals engaged in the domain of FPGA circuit optimization and implementation.

Design of Experiments for Reinforcement Learning (Paperback, Softcover reprint of the original 1st ed. 2015): Christopher Gatti Design of Experiments for Reinforcement Learning (Paperback, Softcover reprint of the original 1st ed. 2015)
Christopher Gatti
R3,486 Discovery Miles 34 860 Ships in 10 - 15 working days

This thesis takes an empirical approach to understanding of the behavior and interactions between the two main components of reinforcement learning: the learning algorithm and the functional representation of learned knowledge. The author approaches these entities using design of experiments not commonly employed to study machine learning methods. The results outlined in this work provide insight as to what enables and what has an effect on successful reinforcement learning implementations so that this learning method can be applied to more challenging problems.

Beyond BIOS - Developing with the Unified Extensible Firmware Interface, Third Edition (Paperback, 3rd Ed.): Vincent Zimmer,... Beyond BIOS - Developing with the Unified Extensible Firmware Interface, Third Edition (Paperback, 3rd Ed.)
Vincent Zimmer, Michael Rothman, Suresh Marisetty
R2,277 R1,799 Discovery Miles 17 990 Save R478 (21%) Ships in 10 - 15 working days

This book provides an overview of modern boot firmware, including the Unified Extensible Firmware Interface (UEFI) and its associated EFI Developer Kit II (EDKII) firmware. The authors have each made significant contributions to developments in these areas. The reader will learn to use the latest developments in UEFI on modern hardware, including open source firmware and open hardware designs. The book begins with an exploration of interfaces exposed to higher-level software and operating systems, and commences to the left of the boot timeline, describing the flow of typical systems, beginning with the machine restart event. Software engineers working with UEFI will benefit greatly from this book, while specific sections of the book address topics relevant for a general audience: system architects, pre-operating-system application developers, operating system vendors (loader, kernel), independent hardware vendors (such as for plug-in adapters), and developers of end-user applications. As a secondary audience, project technical leaders or managers may be interested in this book to get a feel for what their engineers are doing. The reader will find: An overview of UEFI and underlying Platform Initialization (PI) specifications How to create UEFI applications and drivers Workflow to design the firmware solution for a modern platform Advanced usages of UEFI firmware for security and manageability

High Performance Computing on Vector Systems 2006 - Proceedings of the High Performance Computing Center Stuttgart, March 2006... High Performance Computing on Vector Systems 2006 - Proceedings of the High Performance Computing Center Stuttgart, March 2006 (Paperback, Softcover reprint of the original 1st ed. 2007)
Thomas Boenisch, Sunil Tiyyagura, Toshiyuki Furui, Yoshiki Seo, Wolfgang Bez
R2,927 Discovery Miles 29 270 Ships in 10 - 15 working days

The book presents the state-of-the-art in high performance computing and simulation on modern supercomputer architectures. It covers trends in high performance application software development in general and specifically for parallel vector architectures. The contributions cover among others the field of computational fluid dynamics, physics, chemistry, and meteorology. Innovative application fields like reactive flow simulations and nano technology are presented.

Flip-Flop Design in Nanometer CMOS - From High Speed to Low Energy (Paperback, Softcover reprint of the original 1st ed. 2015):... Flip-Flop Design in Nanometer CMOS - From High Speed to Low Energy (Paperback, Softcover reprint of the original 1st ed. 2015)
Massimo Alioto, Elio Consoli, Gaetano Palumbo
R3,687 Discovery Miles 36 870 Ships in 10 - 15 working days

This book provides a unified treatment of Flip-Flop design and selection in nanometer CMOS VLSI systems. The design aspects related to the energy-delay tradeoff in Flip-Flops are discussed, including their energy-optimal selection according to the targeted application, and the detailed circuit design in nanometer CMOS VLSI systems. Design strategies are derived in a coherent framework that includes explicitly nanometer effects, including leakage, layout parasitics and process/voltage/temperature variations, as main advances over the existing body of work in the field. The related design tradeoffs are explored in a wide range of applications and the related energy-performance targets. A wide range of existing and recently proposed Flip-Flop topologies are discussed. Theoretical foundations are provided to set the stage for the derivation of design guidelines, and emphasis is given on practical aspects and consequences of the presented results. Analytical models and derivations are introduced when needed to gain an insight into the inter-dependence of design parameters under practical constraints. This book serves as a valuable reference for practicing engineers working in the VLSI design area, and as text book for senior undergraduate, graduate and postgraduate students (already familiar with digital circuits and timing).

Arbitrary Modeling of TSVs for 3D Integrated Circuits (Paperback, Softcover reprint of the original 1st ed. 2015): Khaled... Arbitrary Modeling of TSVs for 3D Integrated Circuits (Paperback, Softcover reprint of the original 1st ed. 2015)
Khaled Salah, Yehea Ismail, Alaa El-Rouby
R3,439 Discovery Miles 34 390 Ships in 10 - 15 working days

This book presents a wide-band and technology independent, SPICE-compatible RLC model for through-silicon vias (TSVs) in 3D integrated circuits. This model accounts for a variety of effects, including skin effect, depletion capacitance and nearby contact effects. Readers will benefit from in-depth coverage of concepts and technology such as 3D integration, Macro modeling, dimensional analysis and compact modeling, as well as closed form equations for the through silicon via parasitics. Concepts covered are demonstrated by using TSVs in applications such as a spiral inductor and inductive-based communication system and bandpass filtering.

IP Cores Design from Specifications to Production - Modeling, Verification, Optimization, and Protection (Paperback, Softcover... IP Cores Design from Specifications to Production - Modeling, Verification, Optimization, and Protection (Paperback, Softcover reprint of the original 1st ed. 2016)
Khaled Salah Mohamed
R1,969 Discovery Miles 19 690 Ships in 10 - 15 working days

This book describes the life cycle process of IP cores, from specification to production, including IP modeling, verification, optimization, and protection. Various trade-offs in the design process are discussed, including those associated with many of the most common memory cores, controller IPs and system-on-chip (SoC) buses. Readers will also benefit from the author's practical coverage of new verification methodologies. such as bug localization, UVM, and scan-chain. A SoC case study is presented to compare traditional verification with the new verification methodologies. Discusses the entire life cycle process of IP cores, from specification to production, including IP modeling, verification, optimization, and protection; Introduce a deep introduction for Verilog for both implementation and verification point of view. Demonstrates how to use IP in applications such as memory controllers and SoC buses. Describes a new verification methodology called bug localization; Presents a novel scan-chain methodology for RTL debugging; Enables readers to employ UVM methodology in straightforward, practical terms.

High-Performance Big-Data Analytics - Computing Systems and Approaches (Paperback, Softcover reprint of the original 1st ed.... High-Performance Big-Data Analytics - Computing Systems and Approaches (Paperback, Softcover reprint of the original 1st ed. 2015)
Pethuru Raj, Anupama Raman, Dhivya Nagaraj, Siddhartha Duggirala
R4,205 Discovery Miles 42 050 Ships in 10 - 15 working days

This book presents a detailed review of high-performance computing infrastructures for next-generation big data and fast data analytics. Features: includes case studies and learning activities throughout the book and self-study exercises in every chapter; presents detailed case studies on social media analytics for intelligent businesses and on big data analytics (BDA) in the healthcare sector; describes the network infrastructure requirements for effective transfer of big data, and the storage infrastructure requirements of applications which generate big data; examines real-time analytics solutions; introduces in-database processing and in-memory analytics techniques for data mining; discusses the use of mainframes for handling real-time big data and the latest types of data management systems for BDA; provides information on the use of cluster, grid and cloud computing systems for BDA; reviews the peer-to-peer techniques and tools and the common information visualization techniques, used in BDA.

Design for Manufacturability with Advanced Lithography (Paperback, Softcover reprint of the original 1st ed. 2016): Bei Yu,... Design for Manufacturability with Advanced Lithography (Paperback, Softcover reprint of the original 1st ed. 2016)
Bei Yu, David Z. Pan
R2,004 Discovery Miles 20 040 Ships in 10 - 15 working days

This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL). The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography. Unlike books that discuss DFM from the product level or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms.

Emerging Memory Technologies - Design, Architecture, and Applications (Paperback, Softcover reprint of the original 1st ed.... Emerging Memory Technologies - Design, Architecture, and Applications (Paperback, Softcover reprint of the original 1st ed. 2014)
Yuan Xie
R4,092 Discovery Miles 40 920 Ships in 10 - 15 working days

This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits.

Functional Verification of Dynamically Reconfigurable FPGA-based Systems (Paperback, Softcover reprint of the original 1st ed.... Functional Verification of Dynamically Reconfigurable FPGA-based Systems (Paperback, Softcover reprint of the original 1st ed. 2015)
Lingkan Gong, Oliver Diessel
R3,581 Discovery Miles 35 810 Ships in 10 - 15 working days

This book analyzes the challenges in verifying Dynamically Reconfigurable Systems (DRS) with respect to the user design and the physical implementation of such systems. The authors describe the use of a simulation-only layer to emulate the behavior of target FPGAs and accurately model the characteristic features of reconfiguration. Readers are enabled with this simulation-only layer to maintain verification productivity by abstracting away the physical details of the FPGA fabric. Two implementations of the simulation-only layer are included: Extended Re Channel is a System C library that can be used to check DRS designs at a high level; ReSim is a library to support RTL simulation of a DRS reconfiguring both its logic and state. Through a number of case studies, the authors demonstrate how their approach integrates seamlessly with existing, mainstream DRS design flows and with well-established verification methodologies such as top-down modeling and coverage-driven verification.

SystemC and SystemC-AMS in Practice - SystemC 2.3, 2.2 and SystemC-AMS 1.0 (Paperback, Softcover reprint of the original 1st... SystemC and SystemC-AMS in Practice - SystemC 2.3, 2.2 and SystemC-AMS 1.0 (Paperback, Softcover reprint of the original 1st ed. 2014)
Amal Banerjee, Balmiki Sur
R3,534 Discovery Miles 35 340 Ships in 10 - 15 working days

This book describes how engineers can make optimum use of the two industry standard analysis/design tools, SystemC and SystemC-AMS. The authors use a system-level design approach, emphasizing how SystemC and SystemC-AMS features can be exploited most effectively to analyze/understand a given electronic system and explore the design space. The approach taken by this book enables system engineers to concentrate on only those SystemC/SystemC-AMS features that apply to their particular problem, leading to more efficient design. The presentation includes numerous, realistic and complete examples, which are graded in levels of difficulty to illustrate how a variety of systems can be analyzed with these tools.

Near Threshold Computing - Technology, Methods and Applications (Paperback, Softcover reprint of the original 1st ed. 2016):... Near Threshold Computing - Technology, Methods and Applications (Paperback, Softcover reprint of the original 1st ed. 2016)
Michael Hubner, Cristina Silvano
R1,828 Discovery Miles 18 280 Ships in 10 - 15 working days

This book explores near-threshold computing (NTC), a design-space using techniques to run digital chips (processors) near the lowest possible voltage. Readers will be enabled with specific techniques to design chips that are extremely robust; tolerating variability and resilient against errors. Variability-aware voltage and frequency allocation schemes will be presented that will provide performance guarantees, when moving toward near-threshold manycore chips. * Provides an introduction to near-threshold computing, enabling reader with a variety of tools to face the challenges of the power/utilization wall; * Demonstrates how to design efficient voltage regulation, so that each region of the chip can operate at the most efficient voltage and frequency point; * Investigates how performance guarantees can be ensured when moving towards NTC manycores through variability-aware voltage and frequency allocation schemes.

The Boundary-Scan Handbook (Paperback, Softcover reprint of the original 4th ed. 2016): Kenneth P. Parker The Boundary-Scan Handbook (Paperback, Softcover reprint of the original 4th ed. 2016)
Kenneth P. Parker
R5,363 Discovery Miles 53 630 Ships in 10 - 15 working days

Aimed at electronics industry professionals, this 4th edition of the Boundary Scan Handbook describes recent changes to the IEEE1149.1 Standard Test Access Port and Boundary-Scan Architecture. This updated edition features new chapters on the possible effects of the changes on the work of the practicing test engineers and the new 1149.8.1 standard. Anyone needing to understand the basics of boundary scan and its practical industrial implementation will need this book. Provides an overview of the recent changes to the 1149.1 standard and the effect of the changes on the work of test engineers; Explains the new IEEE 1149.8.1 subsidiary standard and applications; Describes the latest updates on the supplementary IEEE testing standards. In particular, addresses: IEEE Std 1149.1 Digital Boundary-ScanIEEE Std 1149.4 Analog Boundary-ScanIEEE Std 1149.6 Advanced I/O TestingIEEE Std 1149.8.1 Passive Component TestingIEEE Std 1149.1-2013 The 2013 Revision of 1149.1IEEE Std 1532 In-System ConfigurationIEEE Std 1149.6-2015 The 2015 Revision of 1149.6

Stack Frames - A Look From Inside (Paperback, 1st ed.): Giuseppe Di Cataldo Stack Frames - A Look From Inside (Paperback, 1st ed.)
Giuseppe Di Cataldo
R1,819 Discovery Miles 18 190 Ships in 10 - 15 working days

Teaches you exactly how program memory content and organization is vital for computer security, especially Unix-like operating systems. You will learn how it is manipulated to take control of a computer system, as well as the countermeasures that system designers set up to avoid this. Neither a guide for hackers nor an all-out theory book, this book is ideal for anyone studying computer security who wants to learn by doing. Using a practical approach, you will understand how stack frames relate to hardware and software theory and the various GNU/Linux distributions, before moving on to Base 2, 8 and 16 notations, executables and libraries. Lastly you will go in-depth to understand the intricacies of stack frames. A vital resource for all computer security students and enthusiasts, add Stack Frames: A Look Inside to your library today. What You Will Learn In-depth knowledge on activation records of functions, and how this information can be used. A better understanding on how conventions used by compilers work. Clarify some concepts on libraries and their relationship with executable programs. Get, or recall, technical skills using compilers, debuggers, and other tools. Who This Book Is For The book is suitable for college students with a good knowledge of the C language, who are interested in deepening their study of the content and organization of program memory, namely the activation records of functions, as regards possible implications in computer security. A basic knowledge of both the Assembly language and the UNIX operating system is certainly helpful, as well as some practice with compilers and debuggers; but they are not compulsory.

REST: Advanced Research Topics and Practical Applications (Paperback, Softcover reprint of the original 1st ed. 2014): Cesare... REST: Advanced Research Topics and Practical Applications (Paperback, Softcover reprint of the original 1st ed. 2014)
Cesare Pautasso, Erik Wilde, Rosa Alarcon
R3,557 Discovery Miles 35 570 Ships in 10 - 15 working days

This book serves as a starting point for people looking for a deeper principled understanding of REST, its applications, its limitations, and current research work in the area and as an architectural style. The authors focus on applying REST beyond Web applications (i.e., in enterprise environments), and in reusing established and well-understood design patterns. The book examines how RESTful systems can be designed and deployed, and what the results are in terms of benefits and challenges encountered in the process. This book is intended for information and service architects and designers who are interested in learning about REST, how it is applied, and how it is being advanced.

Tools for High Performance Computing 2013 - Proceedings of the 7th International Workshop on Parallel Tools for High... Tools for High Performance Computing 2013 - Proceedings of the 7th International Workshop on Parallel Tools for High Performance Computing, September 2013, ZIH, Dresden, Germany (Paperback, Softcover reprint of the original 1st ed. 2014)
Andreas Knupfer, Jose Gracia, Wolfgang E. Nagel, Michael M Resch
R3,274 Discovery Miles 32 740 Ships in 10 - 15 working days

Current advances in High Performance Computing (HPC) increasingly impact efficient software development workflows. Programmers for HPC applications need to consider trends such as increased core counts, multiple levels of parallelism, reduced memory per core, and I/O system challenges in order to derive well performing and highly scalable codes. At the same time, the increasing complexity adds further sources of program defects. While novel programming paradigms and advanced system libraries provide solutions for some of these challenges, appropriate supporting tools are indispensable. Such tools aid application developers in debugging, performance analysis, or code optimization and therefore make a major contribution to the development of robust and efficient parallel software. This book introduces a selection of the tools presented and discussed at the 7th International Parallel Tools Workshop, held in Dresden, Germany, September 3-4, 2013.

Advanced Computer Architecture - 11th Conference, ACA 2016, Weihai, China, August 22-23, 2016, Proceedings (Paperback, 1st ed.... Advanced Computer Architecture - 11th Conference, ACA 2016, Weihai, China, August 22-23, 2016, Proceedings (Paperback, 1st ed. 2016)
Junjie Wu, Lian Li
R1,539 Discovery Miles 15 390 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 11th Annual Conference on Advanced Computer Architecture, ACA 2016, held in Weihai, China, in August 2016. The 17 revised full papers presented were carefully reviewed and selected from 89 submissions. The papers address issues such as processors and circuits; high performance computing; GPUs and accelerators; cloud and data centers; energy and reliability; intelligence computing and mobile computing.

Critical Systems: Formal Methods and Automated Verification - Joint 21st International Workshop on Formal Methods for... Critical Systems: Formal Methods and Automated Verification - Joint 21st International Workshop on Formal Methods for Industrial Critical Systems and 16th International Workshop on Automated Verification of Critical Systems, FMICS-AVoCS 2016, Pisa, Italy, September 26-28, 2016, Proceedings (Paperback, 1st ed. 2016)
Maurice H. ter Beek, Stefania Gnesi, Alexander Knapp
R2,264 Discovery Miles 22 640 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the Joint 21st International Workshop on Formal Methods for Industrial Critical Systems and the 16th International Workshop on Automated Verification of Critical Systems, FMICS-AVoCS 2016, held in Pisa, Italy, in September 2016.The 11 full papers and 4 short papers presented together with one invited talk were carefully reviewed and selected from 24 submissions. They are organized in the following sections: automated verification techniques; model-based system analysis; and applications and case studies.

Digital Design From Zero To One (WSE) (Paperback, 11): Daniel Digital Design From Zero To One (WSE) (Paperback, 11)
Daniel
R5,668 R3,673 Discovery Miles 36 730 Save R1,995 (35%) Ships in 12 - 17 working days

Takes a fresh look at basic digital design. From definition, to example, to graphic illustration, to simulation result, the book progresses through the main themes of digital design. Technically up-to-date, this book covers all the latest topics: Field programmable gate arrays, PALs and ROMs. The latest memory chips for SRAM and DRAM are shown. Software for creating the excitation equations of FSM are covered, as well as LogicWorks and Beige Bag PC and more.

Turbo Decoder Architecture for Beyond-4G Applications (Paperback, Softcover reprint of the original 1st ed. 2014): Cheng-Chi... Turbo Decoder Architecture for Beyond-4G Applications (Paperback, Softcover reprint of the original 1st ed. 2014)
Cheng-Chi Wong, Hsie-Chia Chang
R3,192 Discovery Miles 31 920 Ships in 10 - 15 working days

This book describes the most recent techniques for turbo decoder implementation, especially for 4G and beyond 4G applications. The authors reveal techniques for the design of high-throughput decoders for future telecommunication systems, enabling designers to reduce hardware cost and shorten processing time. Coverage includes an explanation of VLSI implementation of the turbo decoder, from basic functional units to advanced parallel architecture. The authors discuss both hardware architecture techniques and experimental results, showing the variations in area/throughput/performance with respect to several techniques. This book also illustrates turbo decoders for 3GPP-LTE/LTE-A and IEEE 802.16e/m standards, which provide a low-complexity but high-flexibility circuit structure to support these standards in multiple parallel modes. Moreover, some solutions that can overcome the limitation upon the speedup of parallel architecture by modification to turbo codec are presented here. Compared to the traditional designs, these methods can lead to at most 33% gain in throughput with similar performance and similar cost.

Designing 2D and 3D Network-on-Chip Architectures (Paperback, Softcover reprint of the original 1st ed. 2014): Konstantinos... Designing 2D and 3D Network-on-Chip Architectures (Paperback, Softcover reprint of the original 1st ed. 2014)
Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Axel Jantsch
R3,951 Discovery Miles 39 510 Ships in 10 - 15 working days

This book covers key concepts in the design of 2D and 3D Network-on-Chip interconnect. It highlights design challenges and discusses fundamentals of NoC technology, including architectures, algorithms and tools. Coverage focuses on topology exploration for both 2D and 3D NoCs, routing algorithms, NoC router design, NoC-based system integration, verification and testing, and NoC reliability. Case studies are used to illuminate new design methodologies.

Reversible Logic Synthesis Methodologies with Application to Quantum Computing (Paperback, Softcover reprint of the original... Reversible Logic Synthesis Methodologies with Application to Quantum Computing (Paperback, Softcover reprint of the original 1st ed. 2016)
Saleem Mohammed Ridha Taha
R3,427 Discovery Miles 34 270 Ships in 10 - 15 working days

This book opens the door to a new interesting and ambitious world of reversible and quantum computing research. It presents the state of the art required to travel around that world safely. Top world universities, companies and government institutions are in a race of developing new methodologies, algorithms and circuits on reversible logic, quantum logic, reversible and quantum computing and nano-technologies. In this book, twelve reversible logic synthesis methodologies are presented for the first time in a single literature with some new proposals. Also, the sequential reversible logic circuitries are discussed for the first time in a book. Reversible logic plays an important role in quantum computing. Any progress in the domain of reversible logic can be directly applied to quantum logic. One of the goals of this book is to show the application of reversible logic in quantum computing. A new implementation of wavelet and multiwavelet transforms using quantum computing is performed for this purpose. Researchers in academia or industry and graduate students, who work in logic synthesis, quantum computing, nano-technology, and low power VLSI circuit design, will be interested in this book.

Dynamic Memory Management for Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2015): David Atienza... Dynamic Memory Management for Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2015)
David Atienza Alonso, Stylianos Mamagkakis, Christophe Poucet, Miguel Peon Quiros, Alexandros Bartzas, …
R2,252 Discovery Miles 22 520 Ships in 10 - 15 working days

This book provides a systematic and unified methodology, including basic principles and reusable processes, for dynamic memory management (DMM) in embedded systems. The authors describe in detail how to design and optimize the use of dynamic memory in modern, multimedia and network applications, targeting the latest generation of portable embedded systems, such as smartphones. Coverage includes a variety of design and optimization topics in electronic design automation of DMM, from high-level software optimization to microarchitecture-level hardware support. The authors describe the design of multi-layer dynamic data structures for the final memory hierarchy layers of the target portable embedded systems and how to create a low-fragmentation, cost-efficient, dynamic memory management subsystem out of configurable components for the particular memory allocation and de-allocation patterns for each type of application. The design methodology described in this book is based on propagating constraints among design decisions from multiple abstraction levels (both hardware and software) and customizing DMM according to application-specific data access and storage behaviors.

Source-Synchronous Networks-On-Chip - Circuit and Architectural Interconnect Modeling (Paperback, Softcover reprint of the... Source-Synchronous Networks-On-Chip - Circuit and Architectural Interconnect Modeling (Paperback, Softcover reprint of the original 1st ed. 2014)
Ayan Mandal, Sunil P. Khatri, Rabi Mahapatra
R3,345 Discovery Miles 33 450 Ships in 10 - 15 working days

This book describes novel methods for network-on-chip (NoC) design, using source-synchronous high-speed resonant clocks. The authors discuss NoCs from the bottom up, providing circuit level details, before providing architectural simulations. As a result, readers will get a complete picture of how a NoC can be designed and optimized. Using the methods described in this book, readers are enabled to design NoCs that are 5X better than existing approaches in terms of latency and throughput and can also sustain a significantly greater amount of traffic.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
The System Designer's Guide to VHDL-AMS…
Peter J Ashenden, Gregory D. Peterson, … Paperback R2,355 Discovery Miles 23 550
Edsger Wybe Dijkstra - His Life, Work…
Krzysztof R. Apt, Tony Hoare Hardcover R3,225 Discovery Miles 32 250
The TOGAF standard, version 9.2
Open Group Paperback R2,255 Discovery Miles 22 550
Constraint Decision-Making Systems in…
Santosh Kumar Das, Nilanjan Dey Hardcover R7,388 Discovery Miles 73 880
Kreislauf des Lebens
Jacob Moleschott Hardcover R1,237 Discovery Miles 12 370
Grammatical and Syntactical Approaches…
Juhyun Lee, Michael J. Ostwald Hardcover R5,885 Discovery Miles 58 850
CSS For Beginners - The Best CSS Guide…
Ethan Hall Hardcover R997 R833 Discovery Miles 8 330
Cyber-Physical Systems for Social…
Maya Dimitrova, Hiroaki Wagatsuma Hardcover R7,237 Discovery Miles 72 370
Applying Integration Techniques and…
Gabor Kecskemeti Hardcover R6,700 Discovery Miles 67 000
Novel Approaches to Information Systems…
Naveen Prakash, Deepika Prakash Hardcover R6,562 Discovery Miles 65 620

 

Partners