0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (12)
  • R250 - R500 (43)
  • R500+ (3,206)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Computer architecture & logic design

Energy Efficient Embedded Video Processing Systems - A Hardware-Software Collaborative Approach (Hardcover, 1st ed. 2018):... Energy Efficient Embedded Video Processing Systems - A Hardware-Software Collaborative Approach (Hardcover, 1st ed. 2018)
Muhammad Usman Karim Khan, Muhammad Shafique, Joerg Henkel
R2,923 Discovery Miles 29 230 Ships in 10 - 15 working days

This book provides its readers with the means to implement energy-efficient video systems, by using different optimization approaches at multiple abstraction levels. The authors evaluate the complete video system with a motive to optimize its different software and hardware components in synergy, increase the throughput-per-watt, and address reliability issues. Subsequently, this book provides algorithmic and architectural enhancements, best practices and deployment models for new video systems, while considering new implementation paradigms of hardware accelerators, parallelism for heterogeneous multi- and many-core systems, and systems with long life-cycles. Particular emphasis is given to the current video encoding industry standard H.264/AVC, and one of the latest video encoders (High Efficiency Video Coding, HEVC).

High-Performance Parallel Database Processing and Grid Databases (Hardcover): D Taniar High-Performance Parallel Database Processing and Grid Databases (Hardcover)
D Taniar
R4,377 Discovery Miles 43 770 Ships in 12 - 17 working days

The latest techniques and principles of parallel and grid database processing The growth in grid databases, coupled with the utility of parallel query processing, presents an important opportunity to understand and utilize high-performance parallel database processing within a major database management system (DBMS). This important new book provides readers with a fundamental understanding of parallelism in data-intensive applications, and demonstrates how to develop faster capabilities to support them. It presents a balanced treatment of the theoretical and practical aspects of high-performance databases to demonstrate how parallel query is executed in a DBMS, including concepts, algorithms, analytical models, and grid transactions. High-Performance Parallel Database Processing and Grid Databases serves as a valuable resource for researchers working in parallel databases and for practitioners interested in building a high-performance database. It is also a much-needed, self-contained textbook for database courses at the advanced undergraduate and graduate levels.

Artificial Intelligence for Autonomous Networks (Hardcover): Mazin Gilbert Artificial Intelligence for Autonomous Networks (Hardcover)
Mazin Gilbert
R3,944 Discovery Miles 39 440 Ships in 12 - 17 working days

Artificial Intelligence for Autonomous Networks introduces the autonomous network by juxtaposing two unique technologies and communities: Networking and AI. The book reviews the technologies behind AI and software-defined network/network function virtualization, highlighting the exciting opportunities to integrate those two worlds. Outlining the new frontiers for autonomous networks, this book highlights their impact and benefits to consumers and enterprise customers. It also explores the potential of the autonomous network for transforming network operation, cyber security, enterprise services, 5G and IoT, infrastructure monitoring and traffic optimization, and finally, customer experience and care. With contributions from leading experts, this book will provide an invaluable resource for network engineers, software engineers, artificial intelligence, and machine learning researchers.

Fundamentals of Parallel Multicore Architecture (Hardcover): Yan Solihin Fundamentals of Parallel Multicore Architecture (Hardcover)
Yan Solihin
R3,080 Discovery Miles 30 800 Ships in 12 - 17 working days

Although multicore is now a mainstream architecture, there are few textbooks that cover parallel multicore architectures. Filling this gap, Fundamentals of Parallel Multicore Architecture provides all the material for a graduate or senior undergraduate course that focuses on the architecture of multicore processors. The book is also useful as a reference for professionals who deal with programming on multicore or designing multicore chips. The text's coverage of fundamental topics prepares students to study research papers in the multicore architecture area. The text offers many pedagogical features, including: Sufficiently short chapters that can be comfortably read over a weekend Introducing each concept by first describing the problem and building intuition that leads to the need for the concept "Did you know?" boxes that present mini case studies, alternative points of view, examples, and other interesting facts or discussion items Thought-provoking interviews with experts who share their perspectives on multicore architectures in the past, present, and future Online programming assignments and solutions that enhance students' understanding The first several chapters address programming issues in shared memory multiprocessors, such as the programming model and techniques to parallelize regular and irregular applications. The core of the book covers the architectures for shared memory multiprocessors. The final chapter contains interviews with experts in parallel multicore architecture.

Advances in Delay-Tolerant Networks (DTNs) - Architecture and Enhanced Performance (Paperback, 2nd edition): Joel J. P. C.... Advances in Delay-Tolerant Networks (DTNs) - Architecture and Enhanced Performance (Paperback, 2nd edition)
Joel J. P. C. Rodrigues
R4,828 Discovery Miles 48 280 Ships in 12 - 17 working days

Advances in Delay-Tolerant Networks: Architecture and Enhanced Performance, Second Edition provides an important overview of delay-tolerant networks (DTNs) for researchers in electronics, computer engineering, telecommunications and networking for those in academia and R&D in industrial sectors. Part I reviews the technology involved and the prospects for improving performance, including different types of DTN and their applications, such as satellite and deep-space communications and vehicular communications. Part II focuses on how the technology can be further improved, addressing topics, such as data bundling, opportunistic routing, reliable data streaming, and the potential for rapid selection and dissemination of urgent messages. Opportunistic, delay-tolerant networks address the problem of intermittent connectivity in a network where there are long delays between sending and receiving messages, or there are periods of disconnection.

Business Architecture - Collecting, Connecting, and Correcting the Dots (Paperback): Roger T Burlton Business Architecture - Collecting, Connecting, and Correcting the Dots (Paperback)
Roger T Burlton
R1,318 R1,024 Discovery Miles 10 240 Save R294 (22%) Ships in 10 - 15 working days
Logic and Computer Design Fundamentals, Global Edition (Paperback, 5th edition): M. Morris Mano, Charles Kime, Tom Martin Logic and Computer Design Fundamentals, Global Edition (Paperback, 5th edition)
M. Morris Mano, Charles Kime, Tom Martin
R2,573 Discovery Miles 25 730 Ships in 9 - 15 working days

For courses in Logic and Computer design. Understanding Logic and Computer Design for All Audiences Logic and Computer Design Fundamentals is a thoroughly up-to-date text that makes logic design, digital system design, and computer design available to students of all levels. The Fifth Edition brings this widely recognised source to modern standards by ensuring that all information is relevant and contemporary. The material focuses on industry trends and successfully bridges the gap between the much higher levels of abstraction students in the field must work with today than in the past. Broadly covering logic and computer design, Logic and Computer Design Fundamentals is a flexibly organised source material that allows instructors to tailor its use to a wide range of student audiences.

Signals and Systems - A MATLAB (R) Integrated Approach (Paperback): Oktay Alkin Signals and Systems - A MATLAB (R) Integrated Approach (Paperback)
Oktay Alkin
R2,715 Discovery Miles 27 150 Ships in 12 - 17 working days

Drawing on the author's 25+ years of teaching experience, Signals and Systems: A MATLAB (R) Integrated Approach presents a novel and comprehensive approach to understanding signals and systems theory. Many texts use MATLAB (R) as a computational tool, but Alkin's text employs MATLAB both computationally and pedagogically to provide interactive, visual reinforcement of the fundamentals, including the characteristics of signals, operations used on signals, time and frequency domain analyses of systems, continuous-time and discrete-time signals and systems, and more. In addition to 350 traditional end-of-chapter problems and 287 solved examples, the book includes hands-on MATLAB modules consisting of: 101 solved MATLAB examples, working in tandem with the contents of the text itself 98 MATLAB homework problems (coordinated with the 350 traditional end-of-chapter problems) 93 GUI-based MATLAB demo programs that animate key figures and bring core concepts to life 23 MATLAB projects, more involved than the homework problems (used by instructors in building assignments) 11 sections of standalone MATLAB exercises that increase MATLAB proficiency and enforce good coding practices Each module or application is linked to a specific segment of the text to ensure seamless integration between learning and doing. A solutions manual, all relevant MATLAB code, figures, presentation slides, and other ancillary materials are available on an author-supported website or with qualifying course adoption. By involving students directly in the process of visualization, Signals and Systems: A MATLAB (R) Integrated Approach affords a more interactive-thus more effective-solution for a one- or two-semester course on signals and systems at the junior or senior level.

Learning Computer Architecture with Raspberry Pi (US) (Paperback): E Upton Learning Computer Architecture with Raspberry Pi (US) (Paperback)
E Upton 1
R902 R595 Discovery Miles 5 950 Save R307 (34%) Ships in 12 - 17 working days

Use your Raspberry Pi to get smart about computing fundamentals In the 1980s, the tech revolution was kickstarted by a flood of relatively inexpensive, highly programmable computers like the Commodore. Now, a second revolution in computing is beginning with the Raspberry Pi. Learning Computer Architecture with the Raspberry Pi is the premier guide to understanding the components of the most exciting tech product available. Thanks to this book, every Raspberry Pi owner can understand how the computer works and how to access all of its hardware and software capabilities. Now, students, hackers, and casual users alike can discover how computers work with Learning Computer Architecture with the Raspberry Pi. This book explains what each and every hardware component does, how they relate to one another, and how they correspond to the components of other computing systems. You'll also learn how programming works and how the operating system relates to the Raspberry Pi's physical components. * Co-authored by Eben Upton, one of the creators of the Raspberry Pi, this is a companion volume to the Raspberry Pi User Guide * An affordable solution for learning about computer system design considerations and experimenting with low-level programming * Understandable descriptions of the functions of memory storage, Ethernet, cameras, processors, and more * Gain knowledge of computer design and operation in general by exploring the basic structure of the Raspberry Pi The Raspberry Pi was created to bring forth a new generation of computer scientists, developers, and architects who understand the inner workings of the computers that have become essential to our daily lives. Learning Computer Architecture with the Raspberry Pi is your gateway to the world of computer system design.

Python Architecture Patterns - Master API design, event-driven structures, and package management in Python (Paperback): Jaime... Python Architecture Patterns - Master API design, event-driven structures, and package management in Python (Paperback)
Jaime Buelta
R1,073 Discovery Miles 10 730 Ships in 10 - 15 working days

Make the best of your test suites by using cutting-edge software architecture patterns in Python Key Features Learn how to create scalable and maintainable applications Build a web system for micro messaging using concepts in the book Use profiling to find bottlenecks and improve the speed of the system Book DescriptionDeveloping large-scale systems that continuously grow in scale and complexity requires a thorough understanding of how software projects should be implemented. Software developers, architects, and technical management teams rely on high-level software design patterns such as microservices architecture, event-driven architecture, and the strategic patterns prescribed by domain-driven design (DDD) to make their work easier. This book covers these proven architecture design patterns with a forward-looking approach to help Python developers manage application complexity-and get the most value out of their test suites. Starting with the initial stages of design, you will learn about the main blocks and mental flow to use at the start of a project. The book covers various architectural patterns like microservices, web services, and event-driven structures and how to choose the one best suited to your project. Establishing a foundation of required concepts, you will progress into development, debugging, and testing to produce high-quality code that is ready for deployment. You will learn about ongoing operations on how to continue the task after the system is deployed to end users, as the software development lifecycle is never finished. By the end of this Python book, you will have developed "architectural thinking": a different way of approaching software design, including making changes to ongoing systems. What you will learn Think like an architect, analyzing software architecture patterns Explore API design, data storage, and data representation methods Investigate the nuances of common architectural structures Utilize and interoperate elements of patterns such as microservices Implement test-driven development to perform quality code testing Recognize chunks of code that can be restructured as packages Maintain backward compatibility and deploy iterative changes Who this book is forThis book will help software developers and architects understand the structure of large complex systems and adopt architectural patterns that are scalable. Examples in the book are implemented in Python so a fair grasp of basic Python concepts is expected. Proficiency in any programming languages such as Java or JavaScript is sufficient.

Controlling the Chaos - A Functional Framework for Enterprise Architecture and Governance (Paperback): Robert Fox Controlling the Chaos - A Functional Framework for Enterprise Architecture and Governance (Paperback)
Robert Fox
R1,977 R1,522 Discovery Miles 15 220 Save R455 (23%) Ships in 10 - 15 working days
RF Technologies for Low Power Wireless Communications (Hardcover): T. Itoh RF Technologies for Low Power Wireless Communications (Hardcover)
T. Itoh
R4,424 Discovery Miles 44 240 Ships in 12 - 17 working days

A survey of microwave technology tailored for professionals in wireless communications

RF Technologies for Low Power Wireless Communications updates recent developments in wireless communications from a hardware design standpoint and offers specialized coverage of microwave technology with a focus on the low power wireless units required in modern wireless systems. It explores results of recent research that focused on a holistic, integrated approach to the topics of materials, devices, circuits, modulation, and architectures rather than the more traditional approach of research into isolated topical areas.

Twelve chapters deal with various fundamental research aspects of low power wireless electronics written by world-class experts in each field. The first chapter offers an overview of wireless architecture and performance, followed by detailed coverage of:

  • Advanced GaAs-based HBT designs
  • InP-based devices and circuits
  • Si/SiGe HBT technology
  • Noise in GaN devices
  • Power amplifier architectures and nonlinearities
  • Planar-oriented components
  • MEMS and micromachined components
  • Resonators, filters, and low-noise oscillators
  • Antennas
  • Transceiver front-end architectures

With a clear focus and expert contributors, RF Technologies for Low Power Wireless Communications will be of interest to a wide range of electrical engineering disciplines working in wireless technologies.

Low Power CMOS VLSI- Circuit Design (Hardcover): K. Roy Low Power CMOS VLSI- Circuit Design (Hardcover)
K. Roy
R4,280 Discovery Miles 42 800 Ships in 12 - 17 working days

A comprehensive look at the rapidly growing field of low-power VLSI design

Low-power VLSI circuit design is a dynamic research area driven by the growing reliance on battery-powered portable computing and wireless communications products. In addition, it has become critical to the continued progress of high-performance and reliable microelectronic systems. This self-contained volume clearly introduces each topic, incorporates dozens of illustrations, and concludes chapters with summaries and references. VLSI circuit and CAD engineers as well as researchers in universities and industry will find ample information on tools and techniques for design and optimization of low-power electronic systems. Topics include:

  • Fundamentals of power dissipation in microelectronic devices
  • Estimation of power dissipation due to switching, short circuit, subthreshold leakage, and diode leakage currents
  • Design and test of low-voltage CMOS circuits
  • Power-conscious logic and high-level synthesis
  • Low-power static RAM architecture
  • Energy recovery techniques
  • Software power estimation and optimization
VLSI Digital Signal Processing (Hardcover): K.K. Parhi VLSI Digital Signal Processing (Hardcover)
K.K. Parhi
R4,517 Discovery Miles 45 170 Ships in 12 - 17 working days

Digital audio, speech recognition, cable modems, radar, high-definition television—these are but a few of the modern computer and communications applications relying on digital signal processing (DSP) and the attendant application-specific integrated circuits (ASICs). As information-age industries constantly reinvent ASIC chips for lower power consumption and higher efficiency, there is a growing need for designers who are current and fluent in VLSI design methodologies for DSP.

Enter VLSI Digital Signal Processing Systems—a unique, comprehensive guide to performance optimization techniques in VLSI signal processing. Based on Keshab Parhi's highly respected and popular graduate-level courses, this volume is destined to become the standard text and reference in the field. This text integrates VLSI architecture theory and algorithms, addresses various architectures at the implementation level, and presents several approaches to analysis, estimation, and reduction of power consumption.

Throughout this book, Dr. Parhi explains how to design high-speed, low-area, and low-power VLSI systems for a broad range of DSP applications. He covers pipelining extensively as well as numerous other techniques, from parallel processing to scaling and roundoff noise computation. Readers are shown how to apply all techniques to improve implementations of several DSP algorithms, using both ASICs and off-the-shelf programmable digital signal processors.

The book features hundreds of graphs illustrating the various DSP algorithms, examples based on digital filters and transforms clarifying key concepts, and interesting end-of-chapter exercises that help match techniques with applications. In addition, the abundance of readily available techniques makes this an extremely useful resource for designers of DSP systems in wired, wireless, or multimedia communications. The material can be easily adopted in new courses on either VLSI digital signal processing architectures or high-performance VLSI system design.

An invaluable reference and practical guide to VLSI digital signal processing.

A tremendous source of optimization techniques indispensable in modern VLSI signal processing, VLSI Digital Signal Processing Systems promises to become the standard in the field. It offers a rich training ground for students of VLSI design for digital signal processing and provides immediate access to state-of-the-art, proven techniques for designers of DSP applications—in wired, wireless, or multimedia communications.

Topics include:

  • Transformations for high speed using pipelining, retiming, and parallel processing techniques
  • Power reduction transformations for supply voltage reduction as well as for strength or capacitance reduction
  • Area reduction using folding techniques
  • Strategies for arithmetic implementation
  • Synchronous, wave, and asynchronous pipelining
  • Design of programmable DSPs.
Parallel Database Techniques (Hardcover): M Abdelguerfi Parallel Database Techniques (Hardcover)
M Abdelguerfi
R2,312 Discovery Miles 23 120 Ships in 12 - 17 working days

The use of parallel processing technology in the next generation of Database Management Systems (DBMSs) makes it possible to meet new and challenging requirements. Database technology in rapidly expanding new application areas brings unique challenges such as increased functionality and efficient handling of very large heterogeneous databases.
Abdelguerfi and Wong present the latest techniques in parallel relational databases illustrating high-performance achievements in parallel database systems. The text is structured according to the overall architecture of a parallel database system presenting various techniques that may be adopted to the design of parallel database software and hardware execution environments. These techniques can directly or indirectly lead to high-performance parallel database implementation.
The book's main focus follows the authors' engineering model: A survey of parallel query optimization techniques for requests involving multi-way joins; A new technique for a join operation that can be adopted in the local optimization stage; A framework for recovery in parallel database systems using the ACTA formalism; The architectural details of NCR's new Petabyte multimedia database system; A description of the Super Database Computer (SDC-II); A case study for a shared-nothing parallel database server that analyzes and compares the effectiveness of five data placement techniques.

Ones and Zeros - Understanding Boolean Algebra, Digital Circuits and the Logic of Sets (Paperback): J Gregg Ones and Zeros - Understanding Boolean Algebra, Digital Circuits and the Logic of Sets (Paperback)
J Gregg
R2,243 Discovery Miles 22 430 Ships in 12 - 17 working days

Mathematics Ones and Zeros Understanding Boolean Algebra, Digital Circuits, and the Logic of Sets Ones and Zeros explains, in lay terms, Boolean algebra, the suprisingly simple system of mathematical logic used in digital computer circuitry. Anecdotal in style and often funny, Ones and Zeros follows the development of this logic system from its origins in Victorian England to its rediscovery in this century as the foundation of all modern computing machinery. Readers will learn about the interesting history of the development of symbolic logic in particular, and the often misunderstood process of mathematical invention and scientific discovery, in general. Ones and Zeros also features practical exercises with answers, real-world examples of digital circuit design, and a reading list. This fascinating look at the crucial technology of the twentieth century will be enjoyed by anyone who has a general interest in science, technology, and mathematics. Ones and Zeros will be of particular interest to software engineers who want to gain a comprehensive understanding of computer hardware. Outstanding features include:

  • A history of mathematical logic
  • An explanation of the logic of digital circuits
  • Hands-on exercises and examples
About the IEEE Press Understanding Science & Technology Series The IEEE Press Understanding Science & Technology Series treats important topics in science and technology in a simple and easy to understand manner. Designed expressly for the nonspecialist engineer, scientist, or technician, as well as the technologically curious, each volume stresses practical information over mathematical theorems and complicated derivations.
Distributed Shared Memory - Concepts and Systems (Paperback): J Protic Distributed Shared Memory - Concepts and Systems (Paperback)
J Protic
R3,313 Discovery Miles 33 130 Ships in 12 - 17 working days

The papers present in this text survey both distributed shared memory (DSM) efforts and commercial DSM systems. The book discusses relevant issues that make the concept of DSM one of the most attractive approaches for building large-scale, high-performance multiprocessor systems. The authors provide a general introduction to the DSM field as well as a broad survey of the basic DSM concepts, mechanisms, design issues, and systems.

The book concentrates on basic DSM algorithms, their enhancements, and their performance evaluation. In addition, it details implementations that employ DSM solutions at the software and the hardware level. This guide is a research and development reference that provides state-of-the art information that will be useful to architects, designers, and programmers of DSM systems.

Scheduling Divisible Loads in Parallel and Distributed Systems (Paperback): V Bharadwaj Scheduling Divisible Loads in Parallel and Distributed Systems (Paperback)
V Bharadwaj
R2,254 Discovery Miles 22 540 Ships in 12 - 17 working days

This book provides an in-depth study concerning a claqss of problems in the general area of load sharing and balancing in parallel and distributed systems. The authors present the design and analysis of load distribution strategies for arbitrarily divisible loads in multiprocessor/multicomputer systems subjects to the system constraints in the form of communication delays. In particular, two system architecture-single-level tree or star network, and linear network-are thoroughly analyzed.
The text studies two different cases, one of processors with front-ends and the other without. It concentrates on load distribution strategies and performance analysis, and does not cover issues related to implementation of these strategies on a specific system. The book collates research results developed mainly by two groups at the Indian Institute of Science and the State University of New York at Stony Brook. It also covers results by other researchers that have either appeared or are due to appear in computer science literature. The book also provides relevant but easily understandable numerical examples and figures to illustrate important concepts. It is the first book in this area and is intended to spur further research enabling these ideas to be applied to a more general class of loads. The new methodology introduced here allows a close examination of issues involving the integration of communication and computation. In fact, what is presented is a new "calculus" for load sharing problems.

Performance Modelling for Computer Architects (Paperback): C.M. Krishna Performance Modelling for Computer Architects (Paperback)
C.M. Krishna
R2,367 Discovery Miles 23 670 Ships in 12 - 17 working days

As computers become more complex, the number and complexity of the tasks facing the computer architect also increase. Computer performance often depends on the design parameters and intuition that must be supplemented by performance studies to enhance design productivity. Performance Modeling for Computer Architects introduces computer architects to computer system performance models and shows how they are relatively simple, inexpensive to implement, and sufficiently accurate for most purposes. The book discusses the development of performance models based on queuing theory and probability. The text also shows how performance models are used to provide quick approximate calculations to indicate basic performance trade-offs and to narrow the range of parameters considered when determining system configurations. Performance models can demonstrate how a memory system is to be configured, what the cache structure should be, and what effect incremental changes in cache size can have on the miss rate. A particularly deep knowledge of probability theory or any other mathematical field is not required to understand the papers in this volume.

Magnetic Core Memory Decoded (Hardcover): J.S. Walker Magnetic Core Memory Decoded (Hardcover)
J.S. Walker
R1,022 Discovery Miles 10 220 Ships in 10 - 15 working days
Transactions on High-Performance Embedded Architectures and Compilers IV (Paperback, 2011): Per Stenstroem Transactions on High-Performance Embedded Architectures and Compilers IV (Paperback, 2011)
Per Stenstroem
R1,567 Discovery Miles 15 670 Ships in 10 - 15 working days

Transactions on HiPEAC aims at the timely dissemination of research contributions in computer architecture and compilation methods for high-performance embedded computer systems. Recognizing the convergence of embedded and general-purpose computer systems, this journal publishes original research on systems targeted at specific computing tasks as well as systems with broad application bases. The scope of the journal therefore covers all aspects of computer architecture, code generation and compiler optimization methods of interest to researchers and practitioners designing future embedded systems. This 4th issue contains 21 papers carefully reviewed and selected out of numerous submissions and is divided in four sections. The first section contains five regular papers. The second section consists of the top four papers from the 4th International Conference on High-Performance Embedded Architectures and Compilers, HiPEAC 2009, held in Paphos, Cyprus, in January 2009. The third section contains a set of six papers providing a snap-shot from the Workshop on Software and Hardware Challenges of Manycore Platforms, SHCMP 2008 held in Beijing, China, in June 2008. The fourth section consists of six papers from the 8th IEEE International Symposium on Systems, Architectures, Modeling and Simulation, SAMOS VIII (2008) held in Samos, Greece, in July 2008.

High-Speed Digital System Design - A Handbook of Interconnect Theory and Design Practices (Hardcover): S. H. Hall High-Speed Digital System Design - A Handbook of Interconnect Theory and Design Practices (Hardcover)
S. H. Hall
R3,896 Discovery Miles 38 960 Ships in 12 - 17 working days

A cutting-edge guide to the theory and practice of high-speed digital system design

An understanding of high-speed interconnect phenomena is essential for digital designers who must deal with the challenges posed by the ever-increasing operating speeds of today’s microprocessors. This book provides a much-needed, practical guide to the state of the art of modern digital system design, combining easily accessible explanations with immensely useful problem-solving strategies. Written by three leading Intel engineers, High-Speed Digital System Design clarifies difficult and often neglected topics involving the effects of high frequencies on digital buses and presents a variety of proven techniques and application examples. Extensive appendices, formulas, modeling techniques as well as hundreds of figures are also provided.
Coverage includes:

  • A thorough introduction to the digital aspects of basic transmission line theory
  • Crosstalk and nonideal transmission line effects on signal quality and timings
  • The impact of packages, vias, and connectors on signal integrity
  • The effects of nonideal return current paths, high frequency power delivery, and simultaneous switching noise
  • Explanations of how driving circuit characteristics affect the quality of the digital signal
  • Digital timing analysis at the system level that incorporates high-speed signaling effects into timing budgets
  • Methodologies for designing high-speed buses and handling the very large number of variables that affect interconnect performance
  • Radiated emission problems and how to minimize system noise
  • The practical aspects of making measurements in high-speed digital systems
Theory and Application of Satisfiability Testing - 14th International Conference, SAT 2011, Ann Arbor, MI, USA, June 19-22,... Theory and Application of Satisfiability Testing - 14th International Conference, SAT 2011, Ann Arbor, MI, USA, June 19-22, 2011, Proceedings (Paperback, 2011 ed.)
Karem A. Sakallah, Laurent Simon
R1,549 Discovery Miles 15 490 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 14th International Conference on Theory and Applications of Satisfiability Testing, SAT 2011, held in Ann Arbor, MI, USA in June 2011.

The 25 revised full papers presented together with abstracts of 2 invited talks and 10 poster papers were carefully reviewed and selected from 57 submissions. The papers are organized in topical sections on complexity analysis, binary decision diagrams, theoretical analysis, extraction of minimal unsatisfiable subsets, SAT algorithms, quantified Boolean formulae, model enumeration and local search, and empirical evaluation.

OpenMP in the Petascale Era - 7th International Workshop on OpenMP, IWOMP 2011, Chicago, Il, USA, June 13-15, 2011, Proceedings... OpenMP in the Petascale Era - 7th International Workshop on OpenMP, IWOMP 2011, Chicago, Il, USA, June 13-15, 2011, Proceedings (Paperback, 2011 ed.)
Barbara M. Chapman, William D. Gropp, Kalyan Kumaran, Matthias S. Muller
R1,488 Discovery Miles 14 880 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 7th International Workshop on OpenMP, IWOMP 2011, held in Chicago, IL, USA in June 2011. The 13 revised full papers presented were carefully reviewed and selected for inclusion in the proceedings. The papers are organized in topical sections on using OpenMP with application, tools for OpenMP, extensions of OpenMP, and implementation and performance.

Advances in Power Electronics and Instrumentation Engineering - Second International Conference, PEIE 2011, Nagpur,... Advances in Power Electronics and Instrumentation Engineering - Second International Conference, PEIE 2011, Nagpur, Maharashtra, India, April 21-22, 2011. Proceedings (Paperback, 2011 ed.)
Vinu V. Das, Nessy Thankachan, Narayan C Debnath
R1,470 Discovery Miles 14 700 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the Second International Conference on Advances in Power Electronics and Instrumentation Engineering, PEIE 2011, held at Nagpur, India, in April 2011. The 9 revised full papers presented together with 4 short papers and 7 poster papers were carefully reviewed and selected from numerous submissions. The papers address current issues in the field of power electronics, communication engineering, instrumentation engineering, digital electronics, electrical power engineering, electrical machines, information technology, control systems, and the like.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Quick Python 3
David Matuszek Hardcover R2,380 Discovery Miles 23 800
Dual Quaternions and Their Associated…
Ronald Goldman Hardcover R4,184 Discovery Miles 41 840
Parallel Computing
Moreshwar R. Bhujade Hardcover R1,038 Discovery Miles 10 380
Designing Switch/Routers - Fundamental…
James Aweya Paperback R3,625 Discovery Miles 36 250
The TOGAF standard, version 9.2
Open Group Paperback R2,250 Discovery Miles 22 500
Designing Switch/Routers - Fundamental…
James Aweya Hardcover R8,620 Discovery Miles 86 200
Enterprise Level Security 1 & 2
Kevin Foltz, William R. Simpson Paperback R1,394 Discovery Miles 13 940
The Adventurous and Practical Journey to…
FANAP Studies & Research Center Hardcover R2,971 Discovery Miles 29 710
Understanding Computers - Today and…
Charles Parker, Deborah Morley Paperback R1,269 R1,140 Discovery Miles 11 400
Empowered or Left Behind - Use of…
DeeDee M. Bennett Gayle, Xiaojun (Jenny) Yuan Hardcover R1,420 Discovery Miles 14 200

 

Partners