0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (5)
  • R250 - R500 (24)
  • R500+ (2,736)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Computer architecture & logic design > General

Fundamentals of Parallel Multicore Architecture (Hardcover): Yan Solihin Fundamentals of Parallel Multicore Architecture (Hardcover)
Yan Solihin
R3,140 Discovery Miles 31 400 Ships in 12 - 17 working days

Although multicore is now a mainstream architecture, there are few textbooks that cover parallel multicore architectures. Filling this gap, Fundamentals of Parallel Multicore Architecture provides all the material for a graduate or senior undergraduate course that focuses on the architecture of multicore processors. The book is also useful as a reference for professionals who deal with programming on multicore or designing multicore chips. The text's coverage of fundamental topics prepares students to study research papers in the multicore architecture area. The text offers many pedagogical features, including: Sufficiently short chapters that can be comfortably read over a weekend Introducing each concept by first describing the problem and building intuition that leads to the need for the concept "Did you know?" boxes that present mini case studies, alternative points of view, examples, and other interesting facts or discussion items Thought-provoking interviews with experts who share their perspectives on multicore architectures in the past, present, and future Online programming assignments and solutions that enhance students' understanding The first several chapters address programming issues in shared memory multiprocessors, such as the programming model and techniques to parallelize regular and irregular applications. The core of the book covers the architectures for shared memory multiprocessors. The final chapter contains interviews with experts in parallel multicore architecture.

Advances in Delay-Tolerant Networks (DTNs) - Architecture and Enhanced Performance (Paperback, 2nd edition): Joel J. P. C.... Advances in Delay-Tolerant Networks (DTNs) - Architecture and Enhanced Performance (Paperback, 2nd edition)
Joel J. P. C. Rodrigues
R4,924 Discovery Miles 49 240 Ships in 12 - 17 working days

Advances in Delay-Tolerant Networks: Architecture and Enhanced Performance, Second Edition provides an important overview of delay-tolerant networks (DTNs) for researchers in electronics, computer engineering, telecommunications and networking for those in academia and R&D in industrial sectors. Part I reviews the technology involved and the prospects for improving performance, including different types of DTN and their applications, such as satellite and deep-space communications and vehicular communications. Part II focuses on how the technology can be further improved, addressing topics, such as data bundling, opportunistic routing, reliable data streaming, and the potential for rapid selection and dissemination of urgent messages. Opportunistic, delay-tolerant networks address the problem of intermittent connectivity in a network where there are long delays between sending and receiving messages, or there are periods of disconnection.

Logic and Computer Design Fundamentals, Global Edition (Paperback, 5th edition): M. Morris Mano, Charles Kime, Tom Martin Logic and Computer Design Fundamentals, Global Edition (Paperback, 5th edition)
M. Morris Mano, Charles Kime, Tom Martin
R2,623 Discovery Miles 26 230 Ships in 9 - 15 working days

For courses in Logic and Computer design. Understanding Logic and Computer Design for All Audiences Logic and Computer Design Fundamentals is a thoroughly up-to-date text that makes logic design, digital system design, and computer design available to students of all levels. The Fifth Edition brings this widely recognised source to modern standards by ensuring that all information is relevant and contemporary. The material focuses on industry trends and successfully bridges the gap between the much higher levels of abstraction students in the field must work with today than in the past. Broadly covering logic and computer design, Logic and Computer Design Fundamentals is a flexibly organised source material that allows instructors to tailor its use to a wide range of student audiences.

Signals and Systems - A MATLAB (R) Integrated Approach (Paperback): Oktay Alkin Signals and Systems - A MATLAB (R) Integrated Approach (Paperback)
Oktay Alkin
R2,768 Discovery Miles 27 680 Ships in 12 - 17 working days

Drawing on the author's 25+ years of teaching experience, Signals and Systems: A MATLAB (R) Integrated Approach presents a novel and comprehensive approach to understanding signals and systems theory. Many texts use MATLAB (R) as a computational tool, but Alkin's text employs MATLAB both computationally and pedagogically to provide interactive, visual reinforcement of the fundamentals, including the characteristics of signals, operations used on signals, time and frequency domain analyses of systems, continuous-time and discrete-time signals and systems, and more. In addition to 350 traditional end-of-chapter problems and 287 solved examples, the book includes hands-on MATLAB modules consisting of: 101 solved MATLAB examples, working in tandem with the contents of the text itself 98 MATLAB homework problems (coordinated with the 350 traditional end-of-chapter problems) 93 GUI-based MATLAB demo programs that animate key figures and bring core concepts to life 23 MATLAB projects, more involved than the homework problems (used by instructors in building assignments) 11 sections of standalone MATLAB exercises that increase MATLAB proficiency and enforce good coding practices Each module or application is linked to a specific segment of the text to ensure seamless integration between learning and doing. A solutions manual, all relevant MATLAB code, figures, presentation slides, and other ancillary materials are available on an author-supported website or with qualifying course adoption. By involving students directly in the process of visualization, Signals and Systems: A MATLAB (R) Integrated Approach affords a more interactive-thus more effective-solution for a one- or two-semester course on signals and systems at the junior or senior level.

Learning Computer Architecture with Raspberry Pi (US) (Paperback): E Upton Learning Computer Architecture with Raspberry Pi (US) (Paperback)
E Upton 1
R920 R607 Discovery Miles 6 070 Save R313 (34%) Ships in 12 - 17 working days

Use your Raspberry Pi to get smart about computing fundamentals In the 1980s, the tech revolution was kickstarted by a flood of relatively inexpensive, highly programmable computers like the Commodore. Now, a second revolution in computing is beginning with the Raspberry Pi. Learning Computer Architecture with the Raspberry Pi is the premier guide to understanding the components of the most exciting tech product available. Thanks to this book, every Raspberry Pi owner can understand how the computer works and how to access all of its hardware and software capabilities. Now, students, hackers, and casual users alike can discover how computers work with Learning Computer Architecture with the Raspberry Pi. This book explains what each and every hardware component does, how they relate to one another, and how they correspond to the components of other computing systems. You'll also learn how programming works and how the operating system relates to the Raspberry Pi's physical components. * Co-authored by Eben Upton, one of the creators of the Raspberry Pi, this is a companion volume to the Raspberry Pi User Guide * An affordable solution for learning about computer system design considerations and experimenting with low-level programming * Understandable descriptions of the functions of memory storage, Ethernet, cameras, processors, and more * Gain knowledge of computer design and operation in general by exploring the basic structure of the Raspberry Pi The Raspberry Pi was created to bring forth a new generation of computer scientists, developers, and architects who understand the inner workings of the computers that have become essential to our daily lives. Learning Computer Architecture with the Raspberry Pi is your gateway to the world of computer system design.

Controlling the Chaos - A Functional Framework for Enterprise Architecture and Governance (Paperback): Robert Fox Controlling the Chaos - A Functional Framework for Enterprise Architecture and Governance (Paperback)
Robert Fox
R2,027 R1,559 Discovery Miles 15 590 Save R468 (23%) Ships in 10 - 15 working days
RF Technologies for Low Power Wireless Communications (Hardcover): T. Itoh RF Technologies for Low Power Wireless Communications (Hardcover)
T. Itoh
R4,511 Discovery Miles 45 110 Ships in 12 - 17 working days

A survey of microwave technology tailored for professionals in wireless communications

RF Technologies for Low Power Wireless Communications updates recent developments in wireless communications from a hardware design standpoint and offers specialized coverage of microwave technology with a focus on the low power wireless units required in modern wireless systems. It explores results of recent research that focused on a holistic, integrated approach to the topics of materials, devices, circuits, modulation, and architectures rather than the more traditional approach of research into isolated topical areas.

Twelve chapters deal with various fundamental research aspects of low power wireless electronics written by world-class experts in each field. The first chapter offers an overview of wireless architecture and performance, followed by detailed coverage of:

  • Advanced GaAs-based HBT designs
  • InP-based devices and circuits
  • Si/SiGe HBT technology
  • Noise in GaN devices
  • Power amplifier architectures and nonlinearities
  • Planar-oriented components
  • MEMS and micromachined components
  • Resonators, filters, and low-noise oscillators
  • Antennas
  • Transceiver front-end architectures

With a clear focus and expert contributors, RF Technologies for Low Power Wireless Communications will be of interest to a wide range of electrical engineering disciplines working in wireless technologies.

Low Power CMOS VLSI- Circuit Design (Hardcover): K. Roy Low Power CMOS VLSI- Circuit Design (Hardcover)
K. Roy
R4,365 Discovery Miles 43 650 Ships in 12 - 17 working days

A comprehensive look at the rapidly growing field of low-power VLSI design

Low-power VLSI circuit design is a dynamic research area driven by the growing reliance on battery-powered portable computing and wireless communications products. In addition, it has become critical to the continued progress of high-performance and reliable microelectronic systems. This self-contained volume clearly introduces each topic, incorporates dozens of illustrations, and concludes chapters with summaries and references. VLSI circuit and CAD engineers as well as researchers in universities and industry will find ample information on tools and techniques for design and optimization of low-power electronic systems. Topics include:

  • Fundamentals of power dissipation in microelectronic devices
  • Estimation of power dissipation due to switching, short circuit, subthreshold leakage, and diode leakage currents
  • Design and test of low-voltage CMOS circuits
  • Power-conscious logic and high-level synthesis
  • Low-power static RAM architecture
  • Energy recovery techniques
  • Software power estimation and optimization
VLSI Digital Signal Processing (Hardcover): K.K. Parhi VLSI Digital Signal Processing (Hardcover)
K.K. Parhi
R4,606 Discovery Miles 46 060 Ships in 12 - 17 working days

Digital audio, speech recognition, cable modems, radar, high-definition television—these are but a few of the modern computer and communications applications relying on digital signal processing (DSP) and the attendant application-specific integrated circuits (ASICs). As information-age industries constantly reinvent ASIC chips for lower power consumption and higher efficiency, there is a growing need for designers who are current and fluent in VLSI design methodologies for DSP.

Enter VLSI Digital Signal Processing Systems—a unique, comprehensive guide to performance optimization techniques in VLSI signal processing. Based on Keshab Parhi's highly respected and popular graduate-level courses, this volume is destined to become the standard text and reference in the field. This text integrates VLSI architecture theory and algorithms, addresses various architectures at the implementation level, and presents several approaches to analysis, estimation, and reduction of power consumption.

Throughout this book, Dr. Parhi explains how to design high-speed, low-area, and low-power VLSI systems for a broad range of DSP applications. He covers pipelining extensively as well as numerous other techniques, from parallel processing to scaling and roundoff noise computation. Readers are shown how to apply all techniques to improve implementations of several DSP algorithms, using both ASICs and off-the-shelf programmable digital signal processors.

The book features hundreds of graphs illustrating the various DSP algorithms, examples based on digital filters and transforms clarifying key concepts, and interesting end-of-chapter exercises that help match techniques with applications. In addition, the abundance of readily available techniques makes this an extremely useful resource for designers of DSP systems in wired, wireless, or multimedia communications. The material can be easily adopted in new courses on either VLSI digital signal processing architectures or high-performance VLSI system design.

An invaluable reference and practical guide to VLSI digital signal processing.

A tremendous source of optimization techniques indispensable in modern VLSI signal processing, VLSI Digital Signal Processing Systems promises to become the standard in the field. It offers a rich training ground for students of VLSI design for digital signal processing and provides immediate access to state-of-the-art, proven techniques for designers of DSP applications—in wired, wireless, or multimedia communications.

Topics include:

  • Transformations for high speed using pipelining, retiming, and parallel processing techniques
  • Power reduction transformations for supply voltage reduction as well as for strength or capacitance reduction
  • Area reduction using folding techniques
  • Strategies for arithmetic implementation
  • Synchronous, wave, and asynchronous pipelining
  • Design of programmable DSPs.
Ones and Zeros - Understanding Boolean Algebra, Digital Circuits and the Logic of Sets (Paperback): J Gregg Ones and Zeros - Understanding Boolean Algebra, Digital Circuits and the Logic of Sets (Paperback)
J Gregg
R2,287 Discovery Miles 22 870 Ships in 12 - 17 working days

Mathematics Ones and Zeros Understanding Boolean Algebra, Digital Circuits, and the Logic of Sets Ones and Zeros explains, in lay terms, Boolean algebra, the suprisingly simple system of mathematical logic used in digital computer circuitry. Anecdotal in style and often funny, Ones and Zeros follows the development of this logic system from its origins in Victorian England to its rediscovery in this century as the foundation of all modern computing machinery. Readers will learn about the interesting history of the development of symbolic logic in particular, and the often misunderstood process of mathematical invention and scientific discovery, in general. Ones and Zeros also features practical exercises with answers, real-world examples of digital circuit design, and a reading list. This fascinating look at the crucial technology of the twentieth century will be enjoyed by anyone who has a general interest in science, technology, and mathematics. Ones and Zeros will be of particular interest to software engineers who want to gain a comprehensive understanding of computer hardware. Outstanding features include:

  • A history of mathematical logic
  • An explanation of the logic of digital circuits
  • Hands-on exercises and examples
About the IEEE Press Understanding Science & Technology Series The IEEE Press Understanding Science & Technology Series treats important topics in science and technology in a simple and easy to understand manner. Designed expressly for the nonspecialist engineer, scientist, or technician, as well as the technologically curious, each volume stresses practical information over mathematical theorems and complicated derivations.
Distributed Shared Memory - Concepts and Systems (Paperback): J Protic Distributed Shared Memory - Concepts and Systems (Paperback)
J Protic
R3,378 Discovery Miles 33 780 Ships in 12 - 17 working days

The papers present in this text survey both distributed shared memory (DSM) efforts and commercial DSM systems. The book discusses relevant issues that make the concept of DSM one of the most attractive approaches for building large-scale, high-performance multiprocessor systems. The authors provide a general introduction to the DSM field as well as a broad survey of the basic DSM concepts, mechanisms, design issues, and systems.

The book concentrates on basic DSM algorithms, their enhancements, and their performance evaluation. In addition, it details implementations that employ DSM solutions at the software and the hardware level. This guide is a research and development reference that provides state-of-the art information that will be useful to architects, designers, and programmers of DSM systems.

Performance Modelling for Computer Architects (Paperback): C.M. Krishna Performance Modelling for Computer Architects (Paperback)
C.M. Krishna
R2,413 Discovery Miles 24 130 Ships in 12 - 17 working days

As computers become more complex, the number and complexity of the tasks facing the computer architect also increase. Computer performance often depends on the design parameters and intuition that must be supplemented by performance studies to enhance design productivity. Performance Modeling for Computer Architects introduces computer architects to computer system performance models and shows how they are relatively simple, inexpensive to implement, and sufficiently accurate for most purposes. The book discusses the development of performance models based on queuing theory and probability. The text also shows how performance models are used to provide quick approximate calculations to indicate basic performance trade-offs and to narrow the range of parameters considered when determining system configurations. Performance models can demonstrate how a memory system is to be configured, what the cache structure should be, and what effect incremental changes in cache size can have on the miss rate. A particularly deep knowledge of probability theory or any other mathematical field is not required to understand the papers in this volume.

Transactions on High-Performance Embedded Architectures and Compilers IV (Paperback, 2011): Per Stenstroem Transactions on High-Performance Embedded Architectures and Compilers IV (Paperback, 2011)
Per Stenstroem
R1,605 Discovery Miles 16 050 Ships in 10 - 15 working days

Transactions on HiPEAC aims at the timely dissemination of research contributions in computer architecture and compilation methods for high-performance embedded computer systems. Recognizing the convergence of embedded and general-purpose computer systems, this journal publishes original research on systems targeted at specific computing tasks as well as systems with broad application bases. The scope of the journal therefore covers all aspects of computer architecture, code generation and compiler optimization methods of interest to researchers and practitioners designing future embedded systems. This 4th issue contains 21 papers carefully reviewed and selected out of numerous submissions and is divided in four sections. The first section contains five regular papers. The second section consists of the top four papers from the 4th International Conference on High-Performance Embedded Architectures and Compilers, HiPEAC 2009, held in Paphos, Cyprus, in January 2009. The third section contains a set of six papers providing a snap-shot from the Workshop on Software and Hardware Challenges of Manycore Platforms, SHCMP 2008 held in Beijing, China, in June 2008. The fourth section consists of six papers from the 8th IEEE International Symposium on Systems, Architectures, Modeling and Simulation, SAMOS VIII (2008) held in Samos, Greece, in July 2008.

High-Speed Digital System Design - A Handbook of Interconnect Theory and Design Practices (Hardcover): S. H. Hall High-Speed Digital System Design - A Handbook of Interconnect Theory and Design Practices (Hardcover)
S. H. Hall
R3,973 Discovery Miles 39 730 Ships in 12 - 17 working days

A cutting-edge guide to the theory and practice of high-speed digital system design

An understanding of high-speed interconnect phenomena is essential for digital designers who must deal with the challenges posed by the ever-increasing operating speeds of today’s microprocessors. This book provides a much-needed, practical guide to the state of the art of modern digital system design, combining easily accessible explanations with immensely useful problem-solving strategies. Written by three leading Intel engineers, High-Speed Digital System Design clarifies difficult and often neglected topics involving the effects of high frequencies on digital buses and presents a variety of proven techniques and application examples. Extensive appendices, formulas, modeling techniques as well as hundreds of figures are also provided.
Coverage includes:

  • A thorough introduction to the digital aspects of basic transmission line theory
  • Crosstalk and nonideal transmission line effects on signal quality and timings
  • The impact of packages, vias, and connectors on signal integrity
  • The effects of nonideal return current paths, high frequency power delivery, and simultaneous switching noise
  • Explanations of how driving circuit characteristics affect the quality of the digital signal
  • Digital timing analysis at the system level that incorporates high-speed signaling effects into timing budgets
  • Methodologies for designing high-speed buses and handling the very large number of variables that affect interconnect performance
  • Radiated emission problems and how to minimize system noise
  • The practical aspects of making measurements in high-speed digital systems
Theory and Application of Satisfiability Testing - 14th International Conference, SAT 2011, Ann Arbor, MI, USA, June 19-22,... Theory and Application of Satisfiability Testing - 14th International Conference, SAT 2011, Ann Arbor, MI, USA, June 19-22, 2011, Proceedings (Paperback, 2011 ed.)
Karem A. Sakallah, Laurent Simon
R1,586 Discovery Miles 15 860 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 14th International Conference on Theory and Applications of Satisfiability Testing, SAT 2011, held in Ann Arbor, MI, USA in June 2011.

The 25 revised full papers presented together with abstracts of 2 invited talks and 10 poster papers were carefully reviewed and selected from 57 submissions. The papers are organized in topical sections on complexity analysis, binary decision diagrams, theoretical analysis, extraction of minimal unsatisfiable subsets, SAT algorithms, quantified Boolean formulae, model enumeration and local search, and empirical evaluation.

OpenMP in the Petascale Era - 7th International Workshop on OpenMP, IWOMP 2011, Chicago, Il, USA, June 13-15, 2011, Proceedings... OpenMP in the Petascale Era - 7th International Workshop on OpenMP, IWOMP 2011, Chicago, Il, USA, June 13-15, 2011, Proceedings (Paperback, 2011 ed.)
Barbara M. Chapman, William D. Gropp, Kalyan Kumaran, Matthias S. Muller
R1,524 Discovery Miles 15 240 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 7th International Workshop on OpenMP, IWOMP 2011, held in Chicago, IL, USA in June 2011. The 13 revised full papers presented were carefully reviewed and selected for inclusion in the proceedings. The papers are organized in topical sections on using OpenMP with application, tools for OpenMP, extensions of OpenMP, and implementation and performance.

Advances in Power Electronics and Instrumentation Engineering - Second International Conference, PEIE 2011, Nagpur,... Advances in Power Electronics and Instrumentation Engineering - Second International Conference, PEIE 2011, Nagpur, Maharashtra, India, April 21-22, 2011. Proceedings (Paperback, 2011 ed.)
Vinu V. Das, Nessy Thankachan, Narayan C Debnath
R1,506 Discovery Miles 15 060 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the Second International Conference on Advances in Power Electronics and Instrumentation Engineering, PEIE 2011, held at Nagpur, India, in April 2011. The 9 revised full papers presented together with 4 short papers and 7 poster papers were carefully reviewed and selected from numerous submissions. The papers address current issues in the field of power electronics, communication engineering, instrumentation engineering, digital electronics, electrical power engineering, electrical machines, information technology, control systems, and the like.

Transactions on High-Performance Embedded Architectures and Compilers III (Paperback, 2011): Per Stenstroem Transactions on High-Performance Embedded Architectures and Compilers III (Paperback, 2011)
Per Stenstroem
R1,563 Discovery Miles 15 630 Ships in 10 - 15 working days

Transactions on HiPEAC aims at the timely dissemination of research contributions in computer architecture and compilation methods for high-performance embedded computer systems. Recognizing the convergence of embedded and general-purpose computer systems, this journal publishes original research on systems targeted at specific computing tasks as well as systems with broad application bases. The scope of the journal therefore covers all aspects of computer architecture, code generation and compiler optimization methods of interest to researchers and practitioners designing future embedded systems. This third issue contains 14 papers carefully reviewed and selected out of numerous submissions and is divided into four sections. The first section contains the top four papers from the Third International Conference on High-Performance Embedded Architectures and Compilers, HiPEAC 2008, held in Goeteborg, Sweden, in January 2008. The second section consists of four papers from the 8th MEDEA Workshop held in conjunction with PACT 2007 in Brasov, Romania, in September 2007. The third section contains two regular papers and the fourth section provides a snapshot from the First Workshop on Programmability Issues for Multicore Computers, MULTIPROG, held in conjunction with HiPEAC 2008.

How to Speak Machine - Laws of Design for a Digital Age (Paperback): John Maeda How to Speak Machine - Laws of Design for a Digital Age (Paperback)
John Maeda 1
R350 R280 Discovery Miles 2 800 Save R70 (20%) Ships in 5 - 10 working days

John Maeda is one of the world's preeminent thinkers on technology and design, and in How to Speak Machine, he offers a set of simple laws that govern not only the computers of today, but the unimaginable machines of the future. Machines are already more powerful than we can comprehend, and getting more powerful at an exponential pace. Once set in motion, algorithms never tire. And when a program's size, speed and endlessness combine with its ability to learn and transform itself, the outcome can be unpredictable and dangerous. Take the seemingly instant transformation of Microsoft's chatbot into a hate-spewing racist, or how crime-predicting algorithms reinforce racial bias. How To Speak Machine provides a coherent framework for today's product designers, business leaders and policymakers to grasp this brave new world. Drawing on his wide-ranging experience from engineering to computer science to design, Maeda shows how businesses and individuals can identify opportunities afforded by technology to make world-changing and inclusive products while avoiding the pitfalls inherent to the medium.

Automated Reasoning - 5th International Joint Conference, IJCAR 2010, Edinburgh, UK, July 16-19, 2010, Proceedings (Paperback,... Automated Reasoning - 5th International Joint Conference, IJCAR 2010, Edinburgh, UK, July 16-19, 2010, Proceedings (Paperback, 2010 ed.)
Jurgen Giesl, Reiner Hahnle
R3,144 R2,546 Discovery Miles 25 460 Save R598 (19%) Out of stock

This volume contains the proceedings of the 5th International Joint Conference on Automated Reasoning (IJCAR 2010). IJCAR 2010 was held during July 16-19 as part of the 2010 Federated Logic Conference, hosted by the School of Informatics at the University ofEdinburgh,Scotland. Support by the conference sponsors - EPSRC, NSF, Microsoft Research, Association for Symbolic Logic, CADE Inc. , Google, Hewlett-Packard, Intel - is gratefully acknowledged. IJCARisthepremierinternationaljointconferenceonalltopicsinautomated reasoning, including foundations, implementations, and applications. Previous IJCAR conferences were held at Siena (Italy) in 2001, Cork (Ireland) in 2004, Seattle (USA) in 2006, and Sydney (Australia) in 2008. IJCAR comprises s- eral leading conferences and workshops. In 2010, IJCAR was the fusion of the following events: -CADE: International Conference on Automated Deduction -FroCoS: International Symposium on Frontiers of Combining Systems -FTP: International Workshop on First-Order Theorem Proving - TABLEAUX: InternationalConferenceonAutomatedReasoningwith- alytic Tableaux and Related Methods There were 89 submissions (63 regular papers and 26 system descriptions) of which 40 were accepted (28 regular papers and 12 system descriptions). Each submission was assigned to at least three Program Committee members, who carefully reviewed the papers, with the help of 92 external referees. Afterwards, the submissions were discussed by the ProgramCommittee during two weeks by means of Andrei Voronkov's EasyChair system. We want to thank Andrei very much for providing his system, which was very helpful for the management of the submissions and reviews and for the discussion of the Program Committee.

Beyond Loop Level Parallelism in OpenMP: Accelerators, Tasking and More (Paperback, Edition.): Mitsuhisa Sato, Toshihiro... Beyond Loop Level Parallelism in OpenMP: Accelerators, Tasking and More (Paperback, Edition.)
Mitsuhisa Sato, Toshihiro Hanawa, Matthias S. Muller, Barbara Chapman, Bronis R. de Supinski
R1,523 Discovery Miles 15 230 Ships in 10 - 15 working days

This book contains the proceedings of the 6th International Workshop on OpenMP held in Tsukuba City, Japan, in June 2010. The International Wo- shop on OpenMP is an annual series of workshops dedicated to the promotion andadvancementofallaspectsfocusing onparallelprogrammingwithOpenMP. OpenMP is now a major programming model for shared memory systems from multi-core machines to large-scale servers. Recently, new ideas and ch- lengeshavebeenproposedtoextendOpenMPframeworktosupportaccelerators and also to exploit other forms of parallelism beyond loop-level parallelism. The workshop serves as a forum to present the latest research ideas and results related to this shared memory programming model. It also o?ers the opportunity to interact with OpenMP users, developers and the people working on the next release of the speci?cation. In response to the Call-for-Papers for the technical program, the Program Committee received a total of 23 submissions from all over the world including Asia, USA and Europe, and all submissions were carefully refereed in a rigorous processwhichrequiredatleastthreereviewsforeachpaper,usingtheEasyChair conferencesystem.The?naldecisionswerecollectivelymadeinMarch2010. Due to time and space limitations for the workshop and proceedings, only 13 papers could be selected for presentation and inclusion in the proceedings. We believe we havechosen a diverse, high-quality set of papers, re?ecting a stimulating and enjoyable workshop. Finally, we would like to thank all authors, referees,and committee members for their outstanding contributions which have ensured a continuation of the high quality of IWOMP workshops.

Systems Engineering Demystified - A practitioner's handbook for developing complex systems using a model-based approach... Systems Engineering Demystified - A practitioner's handbook for developing complex systems using a model-based approach (Paperback)
Jon Holt
R1,486 Discovery Miles 14 860 Ships in 10 - 15 working days

Get to grips with systems engineering life cycles, processes, and best practices and discover techniques to successfully develop complex systems Key Features Discover how to manage increased complexity and understand systems better via effective communication Adopt a proven model-based approach for systems engineering in your organization Apply proven techniques for requirements, design, validation and verification, and systems engineering management Book DescriptionSystems engineering helps us to understand, specify, and develop complex systems, and is applied across a wide set of disciplines. As systems and their associated problems become increasingly complex in this evermore connected world, the need for more rigorous, demonstrable, and repeatable techniques also increases. Written by Professor Jon Holt - an internationally recognized systems engineering expert - this book provides a blend of technical and business aspects you need to understand in order to develop successful systems. You'll start with systems engineering basics and understand the complexity, communication, and different stakeholders' views of the system. The book then covers essential aspects of model-based systems engineering, systems, life cycles, and processes, along with techniques to develop systems. Moving on, you'll explore system models and visualization techniques, focusing on the SysML, and discover how solutions can be defined by developing effective system design, verification, and validation techniques. The book concludes by taking you through key management processes and systems engineering best practices and guidelines. By the end of this systems engineering book, you'll be able to confidently apply modern model-based systems engineering techniques to your own systems and projects. What you will learn Understand the three evils of systems engineering - complexity, ambiguous communication, and lack of understanding Realize successful systems using model-based systems engineering Understand the concept of life cycles and how they control the evolution of a system Explore processes and related concepts such as activities, stakeholders, and resources Discover how needs fit into the systems life cycle and which processes are relevant and how to comply with them Find out how design, verification, and validation fit into the life cycle and processes Who this book is forThis book is for aspiring systems engineers, engineering managers, or anyone looking to apply systems engineering practices to their systems and projects. While a well-structured, model-based approach to systems engineering is an essential skill for engineers of all disciplines, many companies are finding that new graduates have little understanding of systems engineering. This book helps you acquire this skill with the help of a simple and practical approach to developing successful systems. No prior knowledge of systems engineering or modeling is required to get started with this book.

Software Architecture (Hardcover): MC Oussalah Software Architecture (Hardcover)
MC Oussalah
R3,965 Discovery Miles 39 650 Ships in 12 - 17 working days

Over the past 20 years, software architectures have significantly contributed to the development of complex and distributed systems. Nowadays, it is recognized that one of the critical problems in the design and development of any complex software system is its architecture, i.e. the organization of its architectural elements. Software Architecture presents the software architecture paradigms based on objects, components, services and models, as well as the various architectural techniques and methods, the analysis of architectural qualities, models of representation of architectural templates and styles, their formalization, validation and testing and finally the engineering approach in which these consistent and autonomous elements can be tackled.

What Makes Us Smart - The Computational Logic of Human Cognition (Paperback): Samuel Gershman What Makes Us Smart - The Computational Logic of Human Cognition (Paperback)
Samuel Gershman
R770 Discovery Miles 7 700 Ships in 12 - 17 working days

How a computational framework can account for the successes and failures of human cognition At the heart of human intelligence rests a fundamental puzzle: How are we incredibly smart and stupid at the same time? No existing machine can match the power and flexibility of human perception, language, and reasoning. Yet, we routinely commit errors that reveal the failures of our thought processes. What Makes Us Smart makes sense of this paradox by arguing that our cognitive errors are not haphazard. Rather, they are the inevitable consequences of a brain optimized for efficient inference and decision making within the constraints of time, energy, and memory-in other words, data and resource limitations. Framing human intelligence in terms of these constraints, Samuel Gershman shows how a deeper computational logic underpins the "stupid" errors of human cognition. Embarking on a journey across psychology, neuroscience, computer science, linguistics, and economics, Gershman presents unifying principles that govern human intelligence. First, inductive bias: any system that makes inferences based on limited data must constrain its hypotheses in some way before observing data. Second, approximation bias: any system that makes inferences and decisions with limited resources must make approximations. Applying these principles to a range of computational errors made by humans, Gershman demonstrates that intelligent systems designed to meet these constraints yield characteristically human errors. Examining how humans make intelligent and maladaptive decisions, What Makes Us Smart delves into the successes and failures of cognition.

Architecting Software Intensive Systems - A Practitioners Guide (Hardcover, New): Anthony J. Lattanze Architecting Software Intensive Systems - A Practitioners Guide (Hardcover, New)
Anthony J. Lattanze
R3,729 Discovery Miles 37 290 Ships in 12 - 17 working days

Architectural design is a crucial first step in developing complex software intensive systems. Early design decisions establish the structures necessary for achieving broad systemic properties. However, today's organizations lack synergy between software their development processes and technological methodologies. Providing a thorough treatment of the latest theory and best practices, Architecting Software Intensive Systems: A Practitioner's Guide explains: How and when to design architectures How to weave architecture design processes into existing development processes What to do with architecture design artifacts once created The first section establishes key concepts in architectural design for software intensive systems, including architectural drivers, structures, and fundamental guidance for architectural design. The book goes on to describe the industry tested Architecture Centric Design Method. Each stage of the method is explained and the book provides all of the supporting templates and checklists. The last section discusses practical matters, including how to adopt disciplined architectural design practices into existing organizational development processes. With the principled understanding of design provided by this book, architects can temper their visceral instinct to react and be better prepared to address a broader range of design problems regardless of business context or their domain experience.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Storytelling for New Technologies and…
Ross Berger Paperback R1,401 Discovery Miles 14 010
The Architecture of Computer Hardware…
I Englander Paperback R4,268 R677 Discovery Miles 6 770
Botnets - Architectures…
Georgios Kambourakis, Marios Anagnostopoulos, … Paperback R1,438 Discovery Miles 14 380
Edge-AI in Healthcare - Trends and…
Sonali Vyas, Akanksha Upadhyaya, … Hardcover R2,644 Discovery Miles 26 440
Designing Switch/Routers - Fundamental…
James Aweya Paperback R3,845 Discovery Miles 38 450
Understanding Computers - Today and…
Charles Parker, Deborah Morley Paperback R1,294 R1,162 Discovery Miles 11 620
Designing Switch/Routers - Fundamental…
James Aweya Hardcover R9,091 Discovery Miles 90 910
Understanding Users - Designing…
Andrew Dillon Paperback R972 Discovery Miles 9 720
The Adventurous and Practical Journey to…
FANAP Studies & Research Center Hardcover R3,029 Discovery Miles 30 290
Multi-Fractal Traffic and Anomaly…
Ming Li Hardcover R2,169 Discovery Miles 21 690

 

Partners