0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (6)
  • R250 - R500 (21)
  • R500+ (2,730)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Computer architecture & logic design > General

Partial Reconfiguration on FPGAs - Architectures, Tools and Applications (Paperback, 2013 ed.): Dirk Koch Partial Reconfiguration on FPGAs - Architectures, Tools and Applications (Paperback, 2013 ed.)
Dirk Koch
R5,395 Discovery Miles 53 950 Ships in 10 - 15 working days

This is the first book to focus on designing run-time reconfigurable systems on FPGAs, in order to gain resource and power efficiency, as well as to improve speed. Case studies in partial reconfiguration guide readers through the FPGA jungle, straight toward a working system. The discussion of partial reconfiguration is comprehensive and practical, with models introduced together with methods to implement efficiently the corresponding systems. Coverage includes concepts for partial module integration and corresponding communication architectures, floorplanning of the on-FPGA resources, physical implementation aspects starting from constraining primitive placement and routing all the way down to the bitstream required to configure the FPGA, and verification of reconfigurable systems.

Reversible Computation - 6th International Conference, RC 2014, Kyoto, Japan, July 10-11, 2014. Proceedings (Paperback, 2014... Reversible Computation - 6th International Conference, RC 2014, Kyoto, Japan, July 10-11, 2014. Proceedings (Paperback, 2014 ed.)
Shigeru Yamashita, Shin-ichi Minato
R2,257 Discovery Miles 22 570 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 6th International Conference on Reversible Computation, RC 2014, held in Kyoto, Japan, in July 2014. The 14 contributions presented together with three invited talks were carefully reviewed and selected from 27 submissions. The papers are organized in topical sections on automata for reversible computation; notation and languages for reversible computation; synthesis and optimization for reversible circuits; validation and representation of quantum logic.

High-Performance Computing on the Intel (R) Xeon Phi (TM) - How to Fully Exploit MIC Architectures (Hardcover, 2014): Endong... High-Performance Computing on the Intel (R) Xeon Phi (TM) - How to Fully Exploit MIC Architectures (Hardcover, 2014)
Endong Wang, Qing Zhang, Bo Shen, Guangyong Zhang, Xiaowei Lu, …
R2,878 Discovery Miles 28 780 Ships in 10 - 15 working days

The aim of this book is to explain to high-performance computing (HPC) developers how to utilize the Intel(r) Xeon Phi series products efficiently. To that end, it introduces some computing grammar, programming technology and optimization methods for using many-integrated-core (MIC) platforms and also offers tips and tricks for actual use, based on the authors first-hand optimization experience.

The material is organized in three sections. The first section, Basics of MIC, introduces the fundamentals of MIC architecture and programming, including the specific Intel MIC programming environment. Next, the section on Performance Optimization explains general MIC optimization techniques, which are then illustrated step-by-step using the classical parallel programming example of matrix multiplication. Finally, Project development presents a set of practical and experience-driven methods for using parallel computing in application projects, including how to determine if a serial or parallel CPU program is suitable for MIC and how to transplant a program onto MIC.

This book appeals to two main audiences: First, software developers for HPC applications it will enable them to fully exploit the MIC architecture and thus achieve the extreme performance usually required in biological genetics, medical imaging, aerospace, meteorology and other areas of HPC. Second, students and researchers engaged in parallel and high-performance computing it will guide them on how to push the limits of system performance for HPC applications. "

Digital VLSI Design with Verilog - A Textbook from Silicon Valley Polytechnic Institute (Hardcover, 2nd ed. 2014): John-Michael... Digital VLSI Design with Verilog - A Textbook from Silicon Valley Polytechnic Institute (Hardcover, 2nd ed. 2014)
John-Michael Williams
R4,841 Discovery Miles 48 410 Ships in 10 - 15 working days

This book is structured as a step-by-step course of study along the lines of a VLSI integrated circuit design project. The entire Verilog language is presented, from the basics to everything necessary for synthesis of an entire 70,000 transistor, full-duplex serializer-deserializer, including synthesizable PLLs. The author includes everything an engineer needs for in-depth understanding of the Verilog language: Syntax, synthesis semantics, simulation and test. Complete solutions for the 27 labs are provided in the downloadable files that accompany the book. For readers with access to appropriate electronic design tools, all solutions can be developed, simulated, and synthesized as described in the book. A partial list of design topics includes design partitioning, hierarchy decomposition, safe coding styles, back annotation, wrapper modules, concurrency, race conditions, assertion-based verification, clock synchronization, and design for test. A concluding presentation of special topics includes System Verilog and Verilog-AMS.

High-Performance Computational Solutions in Protein Bioinformatics (Paperback, 2014 ed.): Dariusz Mrozek High-Performance Computational Solutions in Protein Bioinformatics (Paperback, 2014 ed.)
Dariusz Mrozek
R1,961 Discovery Miles 19 610 Ships in 10 - 15 working days

Recent developments in computer science enable algorithms previously perceived as too time-consuming to now be efficiently used for applications in bioinformatics and life sciences. This work focuses on proteins and their structures, protein structure similarity searching at main representation levels and various techniques that can be used to accelerate similarity searches. Divided into four parts, the first part provides a formal model of 3D protein structures for functional genomics, comparative bioinformatics and molecular modeling. The second part focuses on the use of multithreading for efficient approximate searching on protein secondary structures. The third and fourth parts concentrate on finding 3D protein structure similarities with the support of GPUs and cloud computing. Parts three and four both describe the acceleration of different methods. The text will be of interest to researchers and software developers working in the field of structural bioinformatics and biomedical databases.

High-Performance Embedded Computing - Applications in Cyber-Physical Systems and Mobile Computing (Paperback, 2nd edition):... High-Performance Embedded Computing - Applications in Cyber-Physical Systems and Mobile Computing (Paperback, 2nd edition)
Marilyn Wolf
R1,852 Discovery Miles 18 520 Ships in 12 - 17 working days

"High-Performance Embedded Computing, Second Edition," combines leading-edge research with practical guidance in a variety of embedded computing topics, including real-time systems, computer architecture, and low-power design. Author Marilyn Wolf presents a comprehensive survey of the state of the art, and guides you to achieve high levels of performance from the embedded systems that bring these technologies together.

The book covers CPU design, operating systems, multiprocessor programs and architectures, and much more. Embedded computing is a key component of cyber-physical systems, which combine physical devices with computational resources for control and communication. This revised edition adds new content and examples of cyber-physical systems throughout the book, including design methodologies, scheduling, and wide-area CPS to illustrate the possibilities of these new systems.
Revised and updated with coverage of recently developed consumer electronics architectures and models of computingIncludes new VLIW processors such as the TI Da Vinci, and CPU simulation Learn model-based verification and middleware for embedded systems Supplemental material includes lecture slides, labs, and additional resources"

A Primer on Hardware Prefetching (Paperback): Babak Falsafi, Thomas F. Wenisch A Primer on Hardware Prefetching (Paperback)
Babak Falsafi, Thomas F. Wenisch
R805 Discovery Miles 8 050 Ships in 10 - 15 working days

Since the 1970's, microprocessor-based digital platforms have been riding Moore's law, allowing for doubling of density for the same area roughly every two years. However, whereas microprocessor fabrication has focused on increasing instruction execution rate, memory fabrication technologies have focused primarily on an increase in capacity with negligible increase in speed. This divergent trend in performance between the processors and memory has led to a phenomenon referred to as the "Memory Wall." To overcome the memory wall, designers have resorted to a hierarchy of cache memory levels, which rely on the principal of memory access locality to reduce the observed memory access time and the performance gap between processors and memory. Unfortunately, important workload classes exhibit adverse memory access patterns that baffle the simple policies built into modern cache hierarchies to move instructions and data across cache levels. As such, processors often spend much time idling upon a demand fetch of memory blocks that miss in higher cache levels. Prefetching-predicting future memory accesses and issuing requests for the corresponding memory blocks in advance of explicit accesses-is an effective approach to hide memory access latency. There have been a myriad of proposed prefetching techniques, and nearly every modern processor includes some hardware prefetching mechanisms targeting simple and regular memory access patterns. This primer offers an overview of the various classes of hardware prefetchers for instructions and data proposed in the research literature, and presents examples of techniques incorporated into modern microprocessors.

Introduction to Open Core Protocol - Fastpath to System-on-Chip Design (Paperback, 2012 ed.): W.David Schwaderer Introduction to Open Core Protocol - Fastpath to System-on-Chip Design (Paperback, 2012 ed.)
W.David Schwaderer
R3,466 Discovery Miles 34 660 Ships in 10 - 15 working days

This book introduces Open Core Protocol (OCP) not as a conventional hardware communications protocol but as a meta-protocol: a means for describing and capturing the communications requirements of an IP core, and mapping them to a specific set of signals with known semantics. Readers will learn the capabilities of OCP as a semiconductor hardware interface specification that allows different System-On-Chip (SoC) cores to communicate. The OCP methodology presented enables intellectual property designers to design core interfaces in standard ways. This facilitates reusing OCP-compliant cores across multiple SoC designs which, in turn, drastically reduces design times, support costs, and overall cost for electronics/SoCs.

Tree-based Heterogeneous FPGA Architectures - Application Specific Exploration and Optimization (Paperback, 2012 ed.): Umer... Tree-based Heterogeneous FPGA Architectures - Application Specific Exploration and Optimization (Paperback, 2012 ed.)
Umer Farooq, Zied Marrakchi, Habib Mehrez
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book presents a new FPGA architecture known as tree-based FPGA architecture, due to its hierarchical nature. This type of architecture has been relatively unexplored despite their better performance and predictable routing behavior, as compared to mesh-based FPGA architectures. In this book, we explore and optimize the tree-based architecture and we evaluate it by comparing it to equivalent mesh-based FPGA architectures.

Parallel Architectures and Bioinspired Algorithms (Paperback, 2012 ed.): Francisco Fernandez De Vega, Jose Ignacio Hidalgo... Parallel Architectures and Bioinspired Algorithms (Paperback, 2012 ed.)
Francisco Fernandez De Vega, Jose Ignacio Hidalgo Perez, Juan Lanchares
R4,485 Discovery Miles 44 850 Ships in 10 - 15 working days

This monograph presents examples of best practices when combining bioinspired algorithms with parallel architectures. The book includes recent work by leading researchers in the field and offers a map with the main paths already explored and new ways towards the future. Parallel Architectures and Bioinspired Algorithms will be of value to both specialists in Bioinspired Algorithms, Parallel and Distributed Computing, as well as computer science students trying to understand the present and the future of Parallel Architectures and Bioinspired Algorithms.

Logic and Algebra of Specification (Paperback, Softcover reprint of the original 1st ed. 1993): Friedrich L. Bauer, Wilfried... Logic and Algebra of Specification (Paperback, Softcover reprint of the original 1st ed. 1993)
Friedrich L. Bauer, Wilfried Brauer, Helmut Schwichtenberg
R4,554 Discovery Miles 45 540 Ships in 10 - 15 working days

For some years, specification of software and hardware systems has been influenced not only by algebraic methods but also by new developments in logic. These new developments in logic are partly based on the use of algorithmic techniques in deduction and proving methods, but are alsodue to new theoretical advances, to a great extent stimulated by computer science, which have led to new types of logic and new logical calculi. The new techniques, methods and tools from logic, combined with algebra-based ones, offer very powerful and useful tools for the computer scientist, which may soon become practical for commercial use, where, in particular, more powerful specification tools are needed for concurrent and distributed systems. This volume contains papers based on lectures by leading researchers which were originally given at an international summer school held in Marktoberdorf in 1991. The papers aim to give a foundation for combining logic and algebra for the purposes of specification under the aspects of automated deduction, proving techniques, concurrency and logic, abstract data types and operational semantics, and constructive methods.

Perspectives for Parallel Optical Interconnects (Paperback, Softcover reprint of the original 1st ed. 1993): Philippe Lalanne,... Perspectives for Parallel Optical Interconnects (Paperback, Softcover reprint of the original 1st ed. 1993)
Philippe Lalanne, Pierre Chavel
R1,601 Discovery Miles 16 010 Ships in 10 - 15 working days

This volume is a monograph on parallel optical interconnects. It presents not only the state of-the-art in this domain but also the necessary physical and chemical background. It also provides a discussion of the potential for future devices. Both experts and newcomers to the area will appreciate the authors' proficiency in providing the complete picture of this rapidly growing field. Optical interconnects are already established in telecommunications and should eventually find their way being applied to chip and even gate level connections in integrated systems. The inspiring environment of the Basic Research Working Group on Optical Information Technology WOIT (3199), together with the excellent and complementary skills of its participants, make this contribution highly worthwhile. G. Metakides Table of contents 1 Perspectives for parallel optical interconnects: introduction . . . . . . . . . . . . . . . . . . . . . . . . . l Pierre Chavel and Philippe lAlanne 1. 1 Optical Interconnects and ESPRIT BRA WOIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1. 2 What are optical interconnects? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1. 3 Optical interconnects: how ? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 1. 3. 1 Passive devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 1. 3. 2 Active devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 1. 3. 3 Schemes for parallel optical interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 1. 3. 4 Limits of optical interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1. 4 Optical interconnects: why ? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 Acknowledgetnents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 First Section: Components Part 1. 1 Passive interconnect components 2 Free space interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 Philippe Lalanne and Pierre ChaveZ 2. 1 Introduction: 3D optical interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 2. 2 Optical free space channels and their implementations . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 2. 2. 1 Diffraction and degrees of freedom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 2. 2. 2 Two Qasic interconnect setups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ."

Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip (Paperback, 2012 ed.): Marvin Onabajo, Jose... Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip (Paperback, 2012 ed.)
Marvin Onabajo, Jose Silva-Martinez
R2,957 Discovery Miles 29 570 Ships in 10 - 15 working days

This book describes several techniques to address variation-related design challenges for analog blocks in mixed-signal systems-on-chip. The methods presented are results from recent research works involving receiver front-end circuits, baseband filter linearization, and data conversion. These circuit-level techniques are described, with their relationships to emerging system-level calibration approaches, to tune the performances of analog circuits with digital assistance or control. Coverage also includes a strategy to utilize on-chip temperature sensors to measure the signal power and linearity characteristics of analog/RF circuits, as demonstrated by test chip measurements. Describes a variety of variation-tolerant analog circuit design examples, including from RF front-ends, high-performance ADCs and baseband filters;Includes built-in testing techniques, linked to current industrial trends;Balances digitally-assisted performance tuning with analog performance tuning and mismatch reduction approaches;Describes theoretical concepts as well as experimental results for test chips designed with variation-aware techniques."

Scalable and Near-Optimal Design Space Exploration for Embedded Systems (Hardcover, 2014): Angeliki Kritikakou, Francky... Scalable and Near-Optimal Design Space Exploration for Embedded Systems (Hardcover, 2014)
Angeliki Kritikakou, Francky Catthoor, Costas Goutis
R4,180 Discovery Miles 41 800 Ships in 10 - 15 working days

This book describes scalable and near-optimal, processor-level design space exploration (DSE) methodologies. The authors present design methodologies for data storage and processing in real-time, cost-sensitive data-dominated embedded systems. Readers will be enabled to reduce time-to-market, while satisfying system requirements for performance, area, and energy consumption, thereby minimizing the overall cost of the final design.

Parallel Computational Fluid Dynamics - 25th International Conference, ParCFD 2013, Changsha, China, May 20-24, 2013. Revised... Parallel Computational Fluid Dynamics - 25th International Conference, ParCFD 2013, Changsha, China, May 20-24, 2013. Revised Selected Papers (Paperback, 2014 ed.)
Kenli Li, Zheng Xiao, Yan Wang, Jiayi Du, Keqin Li
R4,846 Discovery Miles 48 460 Ships in 10 - 15 working days

This book constitutes the refereed proceedings of the 25th International Conference on Parallel Computational Fluid Dynamics, ParCFD 2013, held in Changsha, China, in May 2013. The 35 revised full papers presented were carefully reviewed and selected from more than 240 submissions. The papers address issues such as parallel algorithms, developments in software tools and environments, unstructured adaptive mesh applications, industrial applications, atmospheric and oceanic global simulation, interdisciplinary applications and evaluation of computer architectures and software environments.

System Specification and Design Languages - Selected Contributions from FDL 2010 (Paperback, 2012): Tom J. Kazmierski, Adam... System Specification and Design Languages - Selected Contributions from FDL 2010 (Paperback, 2012)
Tom J. Kazmierski, Adam Morawiec
R4,477 Discovery Miles 44 770 Ships in 10 - 15 working days

This book brings together a selection of the best papers from the thirteenth edition of the Forum on specification and Design Languages Conference (FDL), which was held in Southampton, UK in September 2010. FDL is a well established international forum devoted to dissemination of research results, practical experiences and new ideas in the application of specification, design and verification languages to the design, modelling and verification of integrated circuits, complex hardware/software embedded systems, and mixed-technology systems.

Flash Memories (Paperback, 1999 ed.): Paulo Cappelletti, Carla Golla, Piero Olivo, Enrico Zanoni Flash Memories (Paperback, 1999 ed.)
Paulo Cappelletti, Carla Golla, Piero Olivo, Enrico Zanoni
R8,641 Discovery Miles 86 410 Ships in 10 - 15 working days

A Flash memory is a Non Volatile Memory (NVM) whose "unit cells" are fabricated in CMOS technology and programmed and erased electrically. In 1971, Frohman-Bentchkowsky developed a folating polysilicon gate tran sistor [1, 2], in which hot electrons were injected in the floating gate and removed by either Ultra-Violet (UV) internal photoemission or by Fowler Nordheim tunneling. This is the "unit cell" of EPROM (Electrically Pro grammable Read Only Memory), which, consisting of a single transistor, can be very densely integrated. EPROM memories are electrically programmed and erased by UV exposure for 20-30 mins. In the late 1970s, there have been many efforts to develop an electrically erasable EPROM, which resulted in EEPROMs (Electrically Erasable Programmable ROMs). EEPROMs use hot electron tunneling for program and Fowler-Nordheim tunneling for erase. The EEPROM cell consists of two transistors and a tunnel oxide, thus it is two or three times the size of an EPROM. Successively, the combination of hot carrier programming and tunnel erase was rediscovered to achieve a single transistor EEPROM, called Flash EEPROM. The first cell based on this concept has been presented in 1979 [3]; the first commercial product, a 256K memory chip, has been presented by Toshiba in 1984 [4]. The market did not take off until this technology was proven to be reliable and manufacturable [5].

Reconfigurable Networks-on-Chip (Paperback, 2012): Sao-jie Chen, Ying-Cherng Lan, Wen-Chung Tsai, Yu Hen Hu Reconfigurable Networks-on-Chip (Paperback, 2012)
Sao-jie Chen, Ying-Cherng Lan, Wen-Chung Tsai, Yu Hen Hu
R3,721 Discovery Miles 37 210 Ships in 10 - 15 working days

This book provides a comprehensive survey of recent progress in the design and implementation of Networks-on-Chip. It addresses a wide spectrum of on-chip communication problems, ranging from physical, network, to application layers. Specific topics that are explored in detail include packet routing, resource arbitration, error control/correction, application mapping, and communication scheduling. Additionally, a novel bi-directional communication channel NoC (BiNoC) architecture is described, with detailed explanation.

Written for practicing engineers in need of practical knowledge about the design and implementation of networks-on-chip; Includes tutorial-like details to introduce readers to a diverse range of NoC designs, as well as in-depth analysis for designers with NoC experience to explore advanced issues; Describes a variety of on-chip communication architectures, including a novel bi-directional communication channel NoC.

From the Foreword:

Overall this book shows important advances over the state of the art that will affect future system design as well as R&D in tools and methods for NoC design. It represents an important reference point for both designers and electronic design automation researchers and developers.

--Giovanni De Micheli"

The Unknown Component Problem - Theory and Applications (Paperback, 2012 ed.): Tiziano Villa, Nina Yevtushenko, Robert K.... The Unknown Component Problem - Theory and Applications (Paperback, 2012 ed.)
Tiziano Villa, Nina Yevtushenko, Robert K. Brayton, Alan Mishchenko, Alexandre Petrenko, …
R4,495 Discovery Miles 44 950 Ships in 10 - 15 working days

The Problem of the Unknown Component: Theory and Applications addresses the issue of designing a component that, combined with a known part of a system, conforms to an overall specification. The authors tackle this problem by solving abstract equations over a language. The most general solutions are studied when both synchronous and parallel composition operators are used. The abstract equations are specialized to languages associated with important classes of automata used for modeling systems. The book is a blend of theory and practice, which includes a description of a software package with applications to sequential synthesis of finite state machines. Specific topologies interconnecting the components, exact and heuristic techniques, and optimization scenarios are studied. Finally the scope is enlarged to domains like testing, supervisory control, game theory and synthesis for special omega languages. The authors present original results of the authors along with an overview of existing ones.

Agile Software Architecture - Aligning Agile Processes and Software Architectures (Paperback): Muhammad Ali Babar, Alan W.... Agile Software Architecture - Aligning Agile Processes and Software Architectures (Paperback)
Muhammad Ali Babar, Alan W. Brown, Ivan Mistrik
R2,908 R2,295 Discovery Miles 22 950 Save R613 (21%) Ships in 12 - 17 working days

Agile software development approaches have had significant impact on industrial software development practices. Today, agile software development has penetrated to most IT companies across the globe, with an intention to increase quality, productivity, and profitability. Comprehensive knowledge is needed to understand the architectural challenges involved in adopting and using agile approaches and industrial practices to deal with the development of large, architecturally challenging systems in an agile way.

"Agile Software Architecture" focuses on gaps in the requirements of applying architecture-centric approaches and principles of agile software development and demystifies the agile architecture paradox. Readers will learn how agile and architectural cultures can co-exist and support each other according to the context. Moreover, this book will also provide useful leads for future research in architecture and agile to bridge such gaps by developing appropriate approaches that incorporate architecturally sound practices in agile methods.
Presents a consolidated view of the state-of-art and state-of-practice as well as the newest research findings Identifies gaps in the requirements of applying architecture-centric approaches and principles of agile software development and demystifies the agile architecture paradox Explains whether or not and how agile and architectural cultures can co-exist and support each other depending upon the context Provides useful leads for future research in both architecture and agile to bridge such gaps by developing appropriate approaches, which incorporate architecturally sound practices in agile methods

Scheduling Real-Time Streaming Applications onto an Embedded Multiprocessor (Hardcover, 2014 ed.): Orlando Moreira, Henk... Scheduling Real-Time Streaming Applications onto an Embedded Multiprocessor (Hardcover, 2014 ed.)
Orlando Moreira, Henk Corporaal
R3,778 Discovery Miles 37 780 Ships in 10 - 15 working days

This book provides a comprehensive overview of the state-of-the-art, data flow-based techniques for the analysis, modeling and mapping technologies of concurrent applications on multi-processors. The authors present a flow for designing embedded hard/firm real-time multiprocessor streaming applications, based on data flow formalisms, with a particular focus on wireless modem applications. Architectures are described for the design tools and run-time scheduling and resource management of such a platform.
"

Pipelined Multiprocessor System-on-Chip for Multimedia (Hardcover, 2014 ed.): Haris Javaid, Sri Parameswaran Pipelined Multiprocessor System-on-Chip for Multimedia (Hardcover, 2014 ed.)
Haris Javaid, Sri Parameswaran
R3,703 Discovery Miles 37 030 Ships in 10 - 15 working days

This book describes analytical models and estimation methods to enhance performance estimation of pipelined multiprocessor systems-on-chip (MPSoCs). A framework is introduced for both design-time and run-time optimizations. For design space exploration, several algorithms are presented to minimize the area footprint of a pipelined MPSoC under a latency or a throughput constraint. A novel adaptive pipelined MPSoC architecture is described, where idle processors are transitioned into low-power states at run-time to reduce energy consumption. Multi-mode pipelined MPSoCs are introduced, where multiple pipelined MPSoCs optimized separately are merged into a single pipelined MPSoC, enabling further reduction of the area footprint by sharing the processors and communication buffers. Readers will benefit from the authors' combined use of analytical models, estimation methods and exploration algorithms and will be enabled to explore billions of design points in a few minutes.

Security for Service Oriented Architectures (Paperback): Walter Williams Security for Service Oriented Architectures (Paperback)
Walter Williams
R1,893 Discovery Miles 18 930 Ships in 12 - 17 working days

Although integrating security into the design of applications has proven to deliver resilient products, there are few books available that provide guidance on how to incorporate security into the design of an application. Filling this need, Security for Service Oriented Architectures examines both application and security architectures and illustrates the relationship between the two. Supplying authoritative guidance on how to design distributed and resilient applications, the book provides an overview of the various standards that service oriented and distributed applications leverage, including SOAP, HTML 5, SAML, XML Encryption, XML Signature, WS-Security, and WS-SecureConversation. It examines emerging issues of privacy and discusses how to design applications within a secure context to facilitate the understanding of these technologies you need to make intelligent decisions regarding their design. This complete guide to security for web services and SOA considers the malicious user story of the abuses and attacks against applications as examples of how design flaws and oversights have subverted the goals of providing resilient business functionality. It reviews recent research on access control for simple and conversation-based web services, advanced digital identity management techniques, and access control for web-based workflows. Filled with illustrative examples and analyses of critical issues, this book provides both security and software architects with a bridge between software and service-oriented architectures and security architectures, with the goal of providing a means to develop software architectures that leverage security architectures. It is also a reliable source of reference on Web services standards. Coverage includes the four types of architectures, implementing and securing SOA, Web 2.0, other SOA platforms, auditing SOAs, and defending and detecting attacks.

Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs (Hardcover, 2014 ed.): Brandon Noia, Krishnendu... Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs (Hardcover, 2014 ed.)
Brandon Noia, Krishnendu Chakrabarty
R4,067 Discovery Miles 40 670 Ships in 10 - 15 working days

This book describes innovative techniques to address the testing needs of 3D stacked integrated circuits (ICs) that utilize through-silicon-vias (TSVs) as vertical interconnects. The authors identify the key challenges facing 3D IC testing and present results that have emerged from cutting-edge research in this domain. Coverage includes topics ranging from die-level wrappers, self-test circuits, and TSV probing to test-architecture design, test scheduling, and optimization. Readers will benefit from an in-depth look at test-technology solutions that are needed to make 3D ICs a reality and commercially viable.

Adiabatic Logic - Future Trend and System Level Perspective (Paperback, 2012 ed.): Philip Teichmann Adiabatic Logic - Future Trend and System Level Perspective (Paperback, 2012 ed.)
Philip Teichmann
R2,922 Discovery Miles 29 220 Ships in 10 - 15 working days

Adiabatic logic is a potential successor for static CMOS circuit design when it comes to ultra-low-power energy consumption. Future development like the evolutionary shrinking of the minimum feature size as well as revolutionary novel transistor concepts will change the gate level savings gained by adiabatic logic. In addition, the impact of worsening degradation effects has to be considered in the design of adiabatic circuits. The impact of the technology trends on the figures of merit of adiabatic logic, energy saving potential and optimum operating frequency, are investigated, as well as degradation related issues. Adiabatic logic benefits from future devices, is not susceptible to Hot Carrier Injection, and shows less impact of Bias Temperature Instability than static CMOS circuits. Major interest also lies on the efficient generation of the applied power-clock signal. This oscillating power supply can be used to save energy in short idle times by disconnecting circuits. An efficient way to generate the power-clock is by means of the synchronous 2N2P LC oscillator, which is also robust with respect to pattern-induced capacitive variations. An easy to implement but powerful power-clock gating supplement is proposed by gating the synchronization signals. Diverse implementations to shut down the system are presented and rated for their applicability and other aspects like energy reduction capability and data retention. Advantageous usage of adiabatic logic requires compact and efficient arithmetic structures. A broad variety of adder structures and a Coordinate Rotation Digital Computer are compared and rated according to energy consumption and area usage, and the resulting energy saving potential against static CMOS proves the ultra-low-power capability of adiabatic logic. In the end, a new circuit topology has to compete with static CMOS also in productivity. On a 130nm test chip, a large scale test vehicle containing an FIR filter was implemented in adiabatic logic, utilizing a standard, library-based design flow, fabricated, measured and compared to simulations of a static CMOS counterpart, with measured saving factors compliant to the values gained by simulation. This leads to the conclusion that adiabatic logic is ready for productive design due to compatibility not only to CMOS technology, but also to electronic design automation (EDA) tools developed for static CMOS system design.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Handbook of Real-Time and Embedded…
Insup Lee, Joseph Y-T. Leung, … Hardcover R6,321 Discovery Miles 63 210
Artificial Intelligence Perspective for…
Sezer Bozkus Kahyaoglu, Vahap Tecim Hardcover R3,049 Discovery Miles 30 490
The Architecture of Information…
Martyn Dade-Robertson Hardcover R5,485 Discovery Miles 54 850
Advances in Mobile Health Technology - A…
Sinjini Mitra Paperback R1,341 Discovery Miles 13 410
Designing Switch/Routers - Fundamental…
James Aweya Hardcover R9,091 Discovery Miles 90 910
Enterprise Level Security 1 & 2
Kevin Foltz, William R. Simpson Paperback R1,421 Discovery Miles 14 210
The TOGAF standard, version 9.2
Open Group Paperback R3,097 R332 Discovery Miles 3 320
Designing Switch/Routers - Fundamental…
James Aweya Paperback R3,845 Discovery Miles 38 450
Multi-Fractal Traffic and Anomaly…
Ming Li Hardcover R2,169 Discovery Miles 21 690
Understanding Users - Designing…
Andrew Dillon Paperback R972 Discovery Miles 9 720

 

Partners