0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (5)
  • R250 - R500 (67)
  • R500+ (2,679)
  • -
Status
Format
Author / Contributor
Publisher

Books > Professional & Technical > Technology: general issues > Technical design > Computer aided design (CAD)

Low-Energy FPGAs - Architecture and Design (Paperback, Softcover reprint of the original 1st ed. 2001): Varghese George, Jan M... Low-Energy FPGAs - Architecture and Design (Paperback, Softcover reprint of the original 1st ed. 2001)
Varghese George, Jan M Rabaey
R2,626 Discovery Miles 26 260 Ships in 18 - 22 working days

Low-Energy FPGAs: Architecture and Design is a primary resource for both researchers and practicing engineers in the field of digital circuit design. The book addresses the energy consumption of Field-Programmable Gate Arrays (FPGAs). FPGAs are becoming popular as embedded components in computing platforms. The programmability of the FPGA can be used to customize implementations of functions on an application basis. This leads to performance gains, and enables reuse of expensive silicon. Chapter 1 provides an overview of digital circuit design and FPGAs. Chapter 2 looks at the implication of deep-submicron technology onFPGA power dissipation. Chapter 3 describes the exploration environment to guide and evaluate design decisions. Chapter 4 discusses the architectural optimization process to evaluate the trade-offs between the flexibility of the architecture, and the effect on the performance metrics. Chapter 5 reviews different circuit techniques to reduce the performance overhead of some of the dominant components. Chapter 6 shows methods to configure FPGAs to minimize the programming overhead. Chapter 7 addresses the physical realization of some of the critical components and the final implementation of a specific low-energy FPGA. Chapter 8 compares the prototype array to an equivalent commercial architecture.

Dynamic Power Management - Design Techniques and CAD Tools (Paperback, Softcover reprint of the original 1st ed. 1998): Luca... Dynamic Power Management - Design Techniques and CAD Tools (Paperback, Softcover reprint of the original 1st ed. 1998)
Luca Benini, Giovanni De Micheli
R4,000 Discovery Miles 40 000 Ships in 18 - 22 working days

Dynamic power management is a design methodology aiming at controlling performance and power levels of digital circuits and systems, with the goal of extending the autonomous operation time of battery-powered systems, providing graceful performance degradation when supply energy is limited, and adapting power dissipation to satisfy environmental constraints. Dynamic Power Management: Design Techniques and CAD Tools addresses design techniques and computer-aided design solutions for power management. Different approaches are presented and organized in an order related to their applicability to control-units, macro-blocks, digital circuits and electronic systems, respectively. All approaches are based on the principle of exploiting idleness of circuits, systems, or portions thereof. They involve both the detection of idleness conditions and the freezing of power-consuming activities in the idle components. The book also describes some approaches to system-level power management, including Microsoft's OnNow architecture and the `Advanced Configuration and Power Management' standard proposed by Intel, Microsoft and Toshiba. These approaches migrate power management to the software layer running on hardware platforms, thus providing a flexible and self-configurable solution to adapting the power/performance tradeoff to the needs of mobile (and fixed) computing and communication. Dynamic Power Management: Design Techniques and CAD Tools is of interest to researchers and developers of computer-aided design tools for integrated circuits and systems, as well as to system designers.

Logic Synthesis for Low Power VLSI Designs (Paperback, Softcover reprint of the original 1st ed. 1998): Sasan Iman, Massoud... Logic Synthesis for Low Power VLSI Designs (Paperback, Softcover reprint of the original 1st ed. 1998)
Sasan Iman, Massoud Pedram
R4,001 Discovery Miles 40 010 Ships in 18 - 22 working days

Logic Synthesis for Low Power VLSI Designs presents a systematic and comprehensive treatment of power modeling and optimization at the logic level. More precisely, this book provides a detailed presentation of methodologies, algorithms and CAD tools for power modeling, estimation and analysis, synthesis and optimization at the logic level. Logic Synthesis for Low Power VLSI Designs contains detailed descriptions of technology-dependent logic transformations and optimizations, technology decomposition and mapping, and post-mapping structural optimization techniques for low power. It also emphasizes the trade-off techniques for two-level and multi-level logic circuits that involve power dissipation and circuit speed, in the hope that the readers can better understand the issues and ways of achieving their power dissipation goal while meeting the timing constraints. Logic Synthesis for Low Power VLSI Designs is written for VLSI design engineers, CAD professionals, and students who have had a basic knowledge of CMOS digital design and logic synthesis.

VHDL'92 - The New Features of the VHDL Hardware Description Language (Paperback, Softcover reprint of the original 1st ed.... VHDL'92 - The New Features of the VHDL Hardware Description Language (Paperback, Softcover reprint of the original 1st ed. 1993)
Jean-Michel Berge, Alain Fonkoua, Serge Maginot, Jacques Rouillard
R1,392 Discovery Miles 13 920 Ships in 18 - 22 working days

An open process of restandardization, conducted by the IEEE, has led to the definitions of the new VHDL standard. The changes make VHDL safer, more portable, and more powerful. VHDL also becomes bigger and more complete. The canonical simulator of VHDL is enriched by new mechanisms, the predefined environment is more complete, and the syntax is more regular and flexible. Discrepancies and known bugs of VHDL'87 have been fixed. However, the new VHDL'92 is compatible with VHDL'87, with some minor exceptions. This book presents the new VHDL'92 for the VHDL designer. New features ar explained and classified. Examples are provided, each new feature is given a rationale and its impact on design methodology, and performance is analysed. Where appropriate, pitfalls and traps are explained. The VHDL designer will quickly be able to find the feature needed to evaluate the benefits it brings, to modify previous VHDL'87 code to make it more efficient, more portable, and more flexible. VHDL'92 is the essential update for all VHDL designers and managers involved in electronic design.

Function/Architecture Optimization and Co-Design of Embedded Systems (Paperback, Softcover reprint of the original 1st ed.... Function/Architecture Optimization and Co-Design of Embedded Systems (Paperback, Softcover reprint of the original 1st ed. 2000)
Bassam Tabbara, Abdallah Tabbara, Alberto L. Sangiovanni-Vincentelli
R2,646 Discovery Miles 26 460 Ships in 18 - 22 working days

Function Architecture Co-Design is a new paradigm for the design and implementation of embedded systems. Function/Architecture Optimization and Co-Design of Embedded Systems presents the authors' work in developing a function/architecture optimization and co-design formal methodology and framework for control-dominated embedded systems. The approach incorporates both data flow and control optimizations performed on a suitable novel intermediate design task representation. The aim is not only to enhance productivity of the designer and system developer, but also to improve quality of the final synthesis outcome. Function/Architecture Optimization and Co-Design of Embedded Systems discusses the proposed function/architecture co-design methodology, focusing on design representation, optimization, validation, and synthesis. Throughout the text, the difference between behavior specification and implementation is emphasized. The current need in co-design to move from synthesis-based technology to compiler-based technology is pointed out. The authors describe and show how performing data flow and control optimizations at the high abstraction level can lead to significant size and performance improvements in both the synthesized hardware and software. The work builds on bodies of research in the silicon and software compilation domains. The aforementioned techniques are specialized to the embedded systems domain. It is recognized that guided optimization can be applied on the internal design representation, no matter what the abstraction level, and need not be restricted to the final stages of software assembly code generation, or hardware synthesis. Function/Architecture Optimization and Co-Design of Embedded Systems will be of primary interest to researchers, developers, and professionals in the field of embedded systems design.

Computer-Aided Geometric Design - A Totally Four-Dimensional Approach (Paperback, Softcover reprint of the original 1st ed.... Computer-Aided Geometric Design - A Totally Four-Dimensional Approach (Paperback, Softcover reprint of the original 1st ed. 2002)
Fujio Yamaguchi
R4,113 Discovery Miles 41 130 Ships in 18 - 22 working days

Computer graphics, computer-aided design, and computer-aided manufacturing are tools that have become indispensable to a wide array of activities in contemporary society. Euclidean processing provides the basis for these computer-aided design systems although it contains elements that inevitably lead to an inaccurate, non-robust, and complex system. The primary cause of the deficiencies of Euclidean processing is the division operation, which becomes necessary if an n-space problem is to be processed in n-space. The difficulties that accompany the division operation may be avoided if processing is conducted entirely in (n+1)-space. The paradigm attained through the logical extension of this approach, totally four-dimensional processing, is the subject of this book. This book offers a new system of geometric processing techniques that attain accurate, robust, and compact computations, and allow the construction of a systematically structured CAD system.

Reuse Methodology Manual - For System-on-a-Chip Designs (Paperback, 2nd ed. 1999. Softcover reprint of the original 2nd ed.... Reuse Methodology Manual - For System-on-a-Chip Designs (Paperback, 2nd ed. 1999. Softcover reprint of the original 2nd ed. 1999)
Pierre Bricaud
R1,413 Discovery Miles 14 130 Ships in 18 - 22 working days

Silicon technology now allows us to build chips consisting of tens of millions of transistors. This technology not only promises new levels of system integration onto a single chip, but also presents significant challenges to the chip designer. As a result, many ASIC developers and silicon vendors are re-examining their design methodologies, searching for ways to make effective use of the huge numbers of gates now available. These designers see current design tools and methodologies as inadequate for developing million-gate ASICs from scratch. There is considerable pressure to keep design team size and design schedules constant even as design complexities grow. Tools are not providing the productivity gains required to keep pace with the increasing gate counts available from deep submicron technology. Design reuse - the use of pre-designed and pre-verified cores - is the most promising opportunity to bridge the gap between available gate-count and designer productivity. Reuse Methodology Manual for System-On-A-Chip Designs, Second Edition outlines an effective methodology for creating reusable designs for use in a System-on-a-Chip (SoC) design methodology. Silicon and tool technologies move so quickly that no single methodology can provide a permanent solution to this highly dynamic problem. Instead, this manual is an attempt to capture and incrementally improve on current best practices in the industry, and to give a coherent, integrated view of the design process. Reuse Methodology Manual for System-On-A-Chip Designs, Second Edition will be updated on a regular basis as a result of changing technology and improved insight into the problems of design reuse and its role in producing high-quality SoC designs.

Robust Modal Control with a Toolbox for Use with MATLAB (R) (Paperback, 2002 ed.): Jean-Francois Magni Robust Modal Control with a Toolbox for Use with MATLAB (R) (Paperback, 2002 ed.)
Jean-Francois Magni
R2,437 Discovery Miles 24 370 Ships in 18 - 22 working days

Robust Modal Control covers most classical multivariable modal control design techniques that were shown to be effective in practice, and in addition proposes several new tools. The proposed new tools include: minimum energy eigenvector selection, low order observer-based control design, conversion to observer-based controllers, a new multimodel design technique, and modal analysis. The text is accompanied by a CD-ROM containing MATLAB(r) software for the implementation of the proposed techniques. The software is in use in aeronautical industry and has proven to be effective and functional.
For more detail, please visit the author's webpage at http: //www.cert.fr/dcsd/idco/perso/Magni/booksandtb.html

On-Chip Inductance in High Speed Integrated Circuits (Paperback, Softcover reprint of the original 1st ed. 2001): Yehea I.... On-Chip Inductance in High Speed Integrated Circuits (Paperback, Softcover reprint of the original 1st ed. 2001)
Yehea I. Ismail, Eby G. Friedman
R2,662 Discovery Miles 26 620 Ships in 18 - 22 working days

The appropriate interconnect model has changed several times over the past two decades due to the application of aggressive technology scaling. New, more accurate interconnect models are required to manage the changing physical characteristics of integrated circuits. Currently, RC models are used to analyze high resistance nets while capacitive models are used for less resistive interconnect. However, on-chip inductance is becoming more important with integrated circuits operating at higher frequencies, since the inductive impedance is proportional to the frequency. The operating frequencies of integrated circuits have increased dramatically over the past decade and are expected to maintain the same rate of increase over the next decade, approaching 10 GHz by the year 2012. Also, wide wires are frequently encountered in important global nets, such as clock distribution networks and in upper metal layers, and performance requirements are pushing the introduction of new materials for low resistance interconnect, such as copper interconnect already used in many commercial CMOS technologies. On-Chip Inductance in High Speed Integrated Circuits deals with the design and analysis of integrated circuits with a specific focus on on-chip inductance effects. It has been described throughout this book that inductance can have a tangible effect on current high speed integrated circuits. For example, neglecting inductance and using an RC interconnect model in a production 0.25 mum CMOS technology can cause large errors (over 35%) in estimates of the propagation delay of on-chip interconnect. It has also been shown that including inductance in the repeater insertion design process as compared to using an RC model improves the overall repeater solution in terms of area, power, and delay with average savings of 40.8%, 15.6%, and 6.7%, respectively. On-Chip Inductance in High Speed Integrated Circuits is full of design and analysis techniques for RLC interconnect. These techniques are compared to techniques traditionally used for RC interconnect design to emphasize the effect of inductance. On-Chip Inductance in High Speed Integrated Circuits will be of interest to researchers in the area of high frequency interconnect, noise, and high performance integrated circuit design.

Depth From Defocus: A Real Aperture Imaging Approach (Paperback, Softcover reprint of the original 1st ed. 1999): Subhasis... Depth From Defocus: A Real Aperture Imaging Approach (Paperback, Softcover reprint of the original 1st ed. 1999)
Subhasis Chaudhuri; Foreword by A. Pentland; A.N. Rajagopalan
R2,626 Discovery Miles 26 260 Ships in 18 - 22 working days

Depth recovery is important in machine vision applications when a 3-dimensional structure must be derived from 2-dimensional images. This is an active area of research with applications ranging from industrial robotics to military imaging. This book provides the comprehensive details of the methodology, along with the complete mathematics and algorithms involved. Many new models, both deterministic and statistical, are introduced.

Advances in Intelligent Tutoring Systems (Paperback, 2010 ed.): Roger Nkambou, Riichiro Mizoguchi, Jacqueline Bourdeau Advances in Intelligent Tutoring Systems (Paperback, 2010 ed.)
Roger Nkambou, Riichiro Mizoguchi, Jacqueline Bourdeau
R5,207 Discovery Miles 52 070 Ships in 18 - 22 working days

May the Forcing Functions be with You: The Stimulating World of AIED and ITS Research It is my pleasure to write the foreword for Advances in Intelligent Tutoring S- tems. This collection, with contributions from leading researchers in the field of artificial intelligence in education (AIED), constitutes an overview of the many challenging research problems that must be solved in order to build a truly intel- gent tutoring system (ITS). The book not only describes some of the approaches and techniques that have been explored to meet these challenges, but also some of the systems that have actually been built and deployed in this effort. As discussed in the Introduction (Chapter 1), the terms "AIED" and "ITS" are often used int- changeably, and there is a large overlap in the researchers devoted to exploring this common field. In this foreword, I will use the term "AIED" to refer to the - search area, and the term "ITS" to refer to the particular kind of system that AIED researchers build. It has often been said that AIED is "AI-complete" in that to produce a tutoring system as sophisticated and effective as a human tutor requires solving the entire gamut of artificial intelligence research (AI) problems.

Interconnect Technology and Design for Gigascale Integration (Paperback, Softcover reprint of the original 1st ed. 2003):... Interconnect Technology and Design for Gigascale Integration (Paperback, Softcover reprint of the original 1st ed. 2003)
Jeffrey A. Davis, James D. Meindl
R4,047 Discovery Miles 40 470 Ships in 18 - 22 working days

This book is jointly authored by leading academic and industry researchers. The material is unique in that it spans IC interconnect topics ranging from IBM's revolutionary copper process to an in-depth exploration into interconnect-aware computer architectures.

Delay Fault Testing for VLSI Circuits (Paperback, Softcover reprint of the original 1st ed. 1998): Angela Krstic, Kwang-Ting... Delay Fault Testing for VLSI Circuits (Paperback, Softcover reprint of the original 1st ed. 1998)
Angela Krstic, Kwang-Ting (Tim) Cheng
R3,988 Discovery Miles 39 880 Ships in 18 - 22 working days

With the ever-increasing speed of integrated circuits, violations of the performance specifications are becoming a major factor affecting the product quality level. The need for testing timing defects is further expected to grow with the current design trend of moving towards deep submicron devices. After a long period of prevailing belief that high stuck-at fault coverage is sufficient to guarantee high quality of shipped products, the industry is now forced to rethink other types of testing. Delay testing has been a topic of extensive research both in industry and in academia for more than a decade. As a result, several delay fault models and numerous testing methodologies have been proposed. Delay Fault Testing for VLSI Circuits presents a selection of existing delay testing research results. It combines introductory material with state-of-the-art techniques that address some of the current problems in delay testing. Delay Fault Testing for VLSI Circuits covers some basic topics such as fault modeling and test application schemes for detecting delay defects.It also presents summaries and conclusions of several recent case studies and experiments related to delay testing. A selection of delay testing issues and test techniques such as delay fault simulation, test generation, design for testability and synthesis for testability are also covered. Delay Fault Testing for VLSI Circuits is intended for use by CAD and test engineers, researchers, tool developers and graduate students. It requires a basic background in digital testing. The book can used as supplementary material for a graduate-level course on VLSI testing.

Wide-Field Spectroscopy - Proceedings of the 2nd Conference of the Working Group of IAU Commission 9 on "Wide-Field Imaging"... Wide-Field Spectroscopy - Proceedings of the 2nd Conference of the Working Group of IAU Commission 9 on "Wide-Field Imaging" held in Athens, Greece, May 20-25, 1996 (Paperback, Softcover reprint of the original 1st ed. 1997)
E. Kontizas, M. Kontizas, D.H. Morgan, G.P. Vettolani
R1,450 Discovery Miles 14 500 Ships in 18 - 22 working days

E. KONTIZAS Astronomical Institute National Observatory of Athens P. O. Box 20048 Athens GR-1181O GREECE The international conference on "Wide-Field Spectroscopy" and its sub ject matter were agreed during the general assembly of the International Astronomical Union (IAU) in August 1994 by the Working Group of Com mision 9 "Wi de-Field Imaging". This meeting gave an opportunity to world experts on this subject to gather in Athens, in order to discuss the cur rent exploitation and the impending opportunities that exist in the area of multi-object spectroscopy, with particular emphasis on: 1. Astronomical instruments, data acquisition, processing and analysis techniques. 2. Astrophysical problems best tackled through wide-field, multi-object spectroscopy. The new fibre optic technology offers an important tool for the advancement of basic research and the development of industrial applications. Astronom ical spectroscopy is a field of astronomy which has contributed much to the advancement of fundamental physics. The spectra of hot stars have been used to determine the well-known Balmer formula for the wavelength of hydrogen lines, in the late 19th century. Since then, spectroscopy has made enormous progress in stellar atmosphere studies, in kinematics, and in the detection of high redshifts in the Universe. The traditional techniques of obtaining wide-field spectroscopic data are based on slitless spectroscopy (objective prism). Several observations, world wide, make use ofthese tech niques in order to obtain information on the spectral properties of objects in large areas of the sky.

Computer-Aided Design Techniques for Low Power Sequential Logic Circuits (Paperback, Softcover reprint of the original 1st ed.... Computer-Aided Design Techniques for Low Power Sequential Logic Circuits (Paperback, Softcover reprint of the original 1st ed. 1997)
Jose Monteiro, Srinivas Devadas
R3,987 Discovery Miles 39 870 Ships in 18 - 22 working days

Rapid increases in chip complexity, increasingly faster clocks, and the proliferation of portable devices have combined to make power dissipation an important design parameter. The power consumption of a digital system determines its heat dissipation as well as battery life. For some systems, power has become the most critical design constraint. Computer-Aided Design Techniques for Low Power Sequential Logic Circuits presents a methodology for low power design. The authors first present a survey of techniques for estimating the average power dissipation of a logic circuit. At the logic level, power dissipation is directly related to average switching activity. A symbolic simulation method that accurately computes the average switching activity in logic circuits is then described. This method is extended to handle sequential logic circuits by modeling correlation in time and by calculating the probabilities of present state lines. Computer-Aided Design Techniques for Low Power Sequential Logic Circuits then presents a survey of methods to optimize logic circuits for low power dissipation which target reduced switching activity. A method to retime a sequential logic circuit where registers are repositioned such that the overall glitching in the circuit is minimized is also described. The authors then detail a powerful optimization method that is based on selectively precomputing the output logic values of a circuit one clock cycle before they are required, and using the precomputed value to reduce internal switching activity in the succeeding clock cycle. Presented next is a survey of methods that reduce switching activity in circuits described at the register-transfer and behavioral levels. Also described is a scheduling algorithm that reduces power dissipation by maximising the inactivity period of the modules in a given circuit. Computer-Aided Design Techniques for Low Power Sequential Logic Circuits concludes with a summary and directions for future research.

High-Level VLSI Synthesis (Paperback, Softcover reprint of the original 1st ed. 1991): Raul Camposano, Wayne Wolf High-Level VLSI Synthesis (Paperback, Softcover reprint of the original 1st ed. 1991)
Raul Camposano, Wayne Wolf
R5,173 Discovery Miles 51 730 Ships in 18 - 22 working days

The time has come for high-level synthesis. When research into synthesizing hardware from abstract, program-like de scriptions started in the early 1970' s, there was no automated path from the register transfer design produced by high-level synthesis to a complete hardware imple mentation. As a result, it was very difficult to measure the effectiveness of high level synthesis methods; it was also hard to justify to users the need to automate architecture design when low-level design had to be completed manually. Today's more mature CAD techniques help close the gap between an automat ically synthesized design and a manufacturable design. Market pressures encour age designers to make use of any and all automated tools. Layout synthesis, logic synthesis, and specialized datapath generators make it feasible to quickly imple ment a register-transfer design in silicon, leaving designers more time to consider architectural improvements. As IC design becomes more automated, customers are increasing their demands; today's leading edge designers using logic synthesis systems are training themselves to be tomorrow's consumers of high-level synthe sis systems. The need for very fast turnaround, a competitive fabrication market WhlCh makes small-quantity ASIC manufacturing possible, and the ever growing co: n plexity of the systems being designed, all make higher-level design automaton inevitable."

Algorithms for VLSI Physical Design Automation (Paperback, 2nd ed. 1995. Softcover reprint of the original 2nd ed. 1995):... Algorithms for VLSI Physical Design Automation (Paperback, 2nd ed. 1995. Softcover reprint of the original 2nd ed. 1995)
Naveed A. Sherwani
R1,481 Discovery Miles 14 810 Ships in 18 - 22 working days

Algorithms for VLSI Physical Design Automation, Second Edition is a core reference text for graduate students and CAD professionals. Based on the very successful First Edition, it provides a comprehensive treatment of the principles and algorithms of VLSI physical design, presenting the concepts and algorithms in an intuitive manner. Each chapter contains 3-4 algorithms that are discussed in detail. Additional algorithms are presented in a somewhat shorter format. References to advanced algorithms are presented at the end of each chapter. Algorithms for VLSI Physical Design Automation covers all aspects of physical design. In 1992, when the First Edition was published, the largest available microprocessor had one million transistors and was fabricated using three metal layers. Now we process with six metal layers, fabricating 15 million transistors on a chip. Designs are moving to the 500-700 MHz frequency goal. These stunning developments have significantly altered the VLSI field: over-the-cell routing and early floorplanning have come to occupy a central place in the physical design flow. This Second Edition introduces a realistic picture to the reader, exposing the concerns facing the VLSI industry, while maintaining the theoretical flavor of the First Edition. New material has been added to all chapters, new sections have been added to most chapters, and a few chapters have been completely rewritten. The textual material is supplemented and clarified by many helpful figures. Audience: An invaluable reference for professionals in layout, design automation and physical design.

High Speed CMOS Design Styles (Paperback, Softcover reprint of the original 1st ed. 1999): Kerry Bernstein, K.M. Carrig,... High Speed CMOS Design Styles (Paperback, Softcover reprint of the original 1st ed. 1999)
Kerry Bernstein, K.M. Carrig, Christopher M. Durham, Patrick R. Hansen, David Hogenmiller, …
R4,033 Discovery Miles 40 330 Ships in 18 - 22 working days

High Speed CMOS Design Styles is written for the graduate-level student or practicing engineer who is primarily interested in circuit design. It is intended to provide practical reference, or `horse-sense', to mechanisms typically described with a more academic slant. This book is organized so that it can be used as a textbook or as a reference book. High Speed CMOS Design Styles provides a survey of design styles in use in industry, specifically in the high speed microprocessor design community. Logic circuit structures, I/O and interface, clocking, and timing schemes are reviewed and described. Characteristics, sensitivities and idiosyncrasies of each are highlighted. High Speed CMOS Design Styles also pulls together and explains contributors to performance variability that are associated with process, applications conditions and design. Rules of thumb and practical references are offered. Each of the general circuit families is then analyzed for its sensitivity and response to this variability. High Speed CMOS Design Styles is an excellent source of ideas and a compilation of observations that highlight how different approaches trade off critical parameters in design and process space.

Sequential Logic Synthesis (Paperback, Softcover reprint of the original 1st ed. 1992): Pranav Ashar, S. Devadas, A.Richard... Sequential Logic Synthesis (Paperback, Softcover reprint of the original 1st ed. 1992)
Pranav Ashar, S. Devadas, A.Richard Newton
R2,644 Discovery Miles 26 440 Ships in 18 - 22 working days

3. 2 Input Encoding Targeting Two-Level Logic . . . . . . . . 27 3. 2. 1 One-Hot Coding and Multiple-Valued Minimization 28 3. 2. 2 Input Constraints and Face Embedding 30 3. 3 Satisfying Encoding Constraints . . . . . . . 32 3. 3. 1 Definitions . . . . . . . . . . . . . . . 32 3. 3. 2 Column-Based Constraint Satisfaction 33 3. 3. 3 Row-Based Constraint Satisfaction . . 37 3. 3. 4 Constraint Satisfaction Using Dichotomies . 38 3. 3. 5 Simulated Annealing for Constraint Satisfaction 41 3. 4 Input Encoding Targeting Multilevel Logic. . 43 3. 4. 1 Kernels and Kernel Intersections . . . 44 3. 4. 2 Kernels and Multiple-Valued Variables 46 3. 4. 3 Multiple-Valued Factorization. . . . . 48 3. 4. 4 Size Estimation in Algebraic Decomposition . 53 3. 4. 5 The Encoding Step . 54 3. 5 Conclusion . . . . . . . . . 55 4 Encoding of Symbolic Outputs 57 4. 1 Heuristic Output Encoding Targeting Two-Level Logic. 59 4. 1. 1 Dominance Relations. . . . . . . . . . . . . . . . 59 4. 1. 2 Output Encoding by the Derivation of Dominance Relations . . . . . . . . . . . . . . . . . . . . . 60 . . 4. 1. 3 Heuristics to Minimize the Number of Encoding Bits . . . . . . . . . . . . 64 4. 1. 4 Disjunctive Relationships . . . . . . . . . . . 65 4. 1. 5 Summary . . . . . . . . . . . . . . . . . . 66 . . 4. 2 Exact Output Encoding Targeting Two-Level Logic. 66 4. 2. 1 Generation of Generalized Prime Implicants . 68 4. 2. 2 Selecting a Minimum Encodeable Cover . . . 68 4. 2. 3 Dominance and Disjunctive Relationships to S- isfy Constraints . . . . . . . . . . . 70 4. 2. 4 Constructing the Optimized Cover 73 4. 2. 5 Correctness of the Procedure . . 73 4. 2. 6 Multiple Symbolic Outputs . . .

Analysis and Design of Univariate Subdivision Schemes (Paperback, 2010 ed.): Malcolm Sabin Analysis and Design of Univariate Subdivision Schemes (Paperback, 2010 ed.)
Malcolm Sabin
R1,408 Discovery Miles 14 080 Ships in 18 - 22 working days

'Subdivision' is a way of representing smooth shapes in a computer. A curve or surface (both of which contain an in?nite number of points) is described in terms of two objects. One object is a sequence of vertices, which we visualise as a polygon, for curves, or a network of vertices, which we visualise by drawing the edges or faces of the network, for surfaces. The other object is a set of rules for making denser sequences or networks. When applied repeatedly, the denser and denser sequences are claimed to converge to a limit, which is the curve or surface that we want to represent. This book focusses on curves, because the theory for that is complete enough that a book claiming that our understanding is complete is exactly what is needed to stimulate research proving that claim wrong. Also because there are already a number of good books on subdivision surfaces. The way in which the limit curve relates to the polygon, and a lot of interesting properties of the limit curve, depend on the set of rules, and this book is about how one can deduce those properties from the set of rules, and how one can then use that understanding to construct rules which give the properties that one wants.

Hierarchical Annotated Action Diagrams - An Interface-Oriented Specification and Verification Method (Paperback, Softcover... Hierarchical Annotated Action Diagrams - An Interface-Oriented Specification and Verification Method (Paperback, Softcover reprint of the original 1st ed. 1998)
Eduard Cerny, Bachir Berkane, Pierre Girodias, Karim Khordoc
R2,636 Discovery Miles 26 360 Ships in 18 - 22 working days

Standardization of hardware description languages and the availability of synthesis tools has brought about a remarkable increase in the productivity of hardware designers. Yet design verification methods and tools lag behind and have difficulty in dealing with the increasing design complexity. This may get worse because more complex systems are now constructed by (re)using Intellectual Property blocks developed by third parties. To verify such designs, abstract models of the blocks and the system must be developed, with separate concerns, such as interface communication, functionality, and timing, that can be verified in an almost independent fashion. Standard Hardware Description Languages such as VHDL and Verilog are inspired by procedural `imperative' programming languages in which function and timing are inherently intertwined in the statements of the language. Furthermore, they are not conceived to state the intent of the design in a simple declarative way that contains provisions for design choices, for stating assumptions on the environment, and for indicating uncertainty in system timing. Hierarchical Annotated Action Diagrams: An Interface-Oriented Specification and Verification Method presents a description methodology that was inspired by Timing Diagrams and Process Algebras, the so-called Hierarchical Annotated Diagrams. It is suitable for specifying systems with complex interface behaviors that govern the global system behavior. A HADD specification can be converted into a behavioral real-time model in VHDL and used to verify the surrounding logic, such as interface transducers. Also, function can be conservatively abstracted away and the interactions between interconnected devices can be verified using Constraint Logic Programming based on Relational Interval Arithmetic. Hierarchical Annotated Action Diagrams: An Interface-Oriented Specification and Verification Method is of interest to readers who are involved in defining methods and tools for system-level design specification and verification. The techniques for interface compatibility verification can be used by practicing designers, without any more sophisticated tool than a calculator.

IDDQ Testing of VLSI Circuits (Paperback, Softcover reprint of the original 1st ed. 1993): Ravi K. Gulati, Charles F. Hawkins IDDQ Testing of VLSI Circuits (Paperback, Softcover reprint of the original 1st ed. 1993)
Ravi K. Gulati, Charles F. Hawkins
R2,619 Discovery Miles 26 190 Ships in 18 - 22 working days

Power supply current monitoring to detect CMOS IC defects during production testing quietly laid down its roots in the mid-1970s. Both Sandia Labs and RCA in the United States and Philips Labs in the Netherlands practiced this procedure on their CMOS ICs. At that time, this practice stemmed simply from an intuitive sense that CMOS ICs showing abnormal quiescent power supply current (IDDQ) contained defects. Later, this intuition was supported by data and analysis in the 1980s by Levi (RACD, Malaiya and Su (SUNY-Binghamton), Soden and Hawkins (Sandia Labs and the University of New Mexico), Jacomino and co-workers (Laboratoire d'Automatique de Grenoble), and Maly and co-workers (Carnegie Mellon University). Interest in IDDQ testing has advanced beyond the data reported in the 1980s and is now focused on applications and evaluations involving larger volumes of ICs that improve quality beyond what can be achieved by previous conventional means. In the conventional style of testing one attempts to propagate the logic states of the suspended nodes to primary outputs. This is done for all or most nodes of the circuit. For sequential circuits, in particular, the complexity of finding suitable tests is very high. In comparison, the IDDQ test does not observe the logic states, but measures the integrated current that leaks through all gates. In other words, it is like measuring a patient's temperature to determine the state of health. Despite perceived advantages, during the years that followed its initial announcements, skepticism about the practicality of IDDQ testing prevailed. The idea, however, provided a great opportunity to researchers. New results on test generation, fault simulation, design for testability, built-in self-test, and diagnosis for this style of testing have since been reported. After a decade of research, we are definitely closer to practice.

Models in System Design (Paperback, Softcover reprint of the original 1st ed. 1997): Jean-Michel Berge, Oz Levia, Jacques... Models in System Design (Paperback, Softcover reprint of the original 1st ed. 1997)
Jean-Michel Berge, Oz Levia, Jacques Rouillard
R5,113 Discovery Miles 51 130 Ships in 18 - 22 working days

Models in System Design tracks the general trend in electronics in terms of size, complexity and difficulty of maintenance. System design is by nature combined with prototyping, mixed domain design, and verification, and it is no surprise that today's modeling and models are used in various levels of system design and verification. In order to deal with constraints induced by volume and complexity, new methods and techniques have been defined. Models in System Design provides an overview of the latest modeling techniques for use by system designers. The first part of the book considers system level design, discussing such issues as abstraction, performance and trade-offs. There is also a section on automating system design. The second part of the book deals with some of the newest aspects of embedded system design. These include co-verification and prototyping. Finally, the book includes a section on the use of the MCSE methodology for hardware/software co-design. Models in System Design will help designers and researchers to understand these latest techniques in system design and as such will be of interest to all involved in embedded system design.

Sequential Logic Testing and Verification (Paperback, Softcover reprint of the original 1st ed. 1992): Abhijit Ghosh, Srinivas... Sequential Logic Testing and Verification (Paperback, Softcover reprint of the original 1st ed. 1992)
Abhijit Ghosh, Srinivas Devadas, A.Richard Newton
R3,997 Discovery Miles 39 970 Ships in 18 - 22 working days

In order to design and build computers that achieve and sustain high performance, it is essential that reliability issues be considered care fully. The problem has several aspects. Certainly, considering reliability implies that an engineer must be able to analyze how design decisions affect the incidence of failure. For instance, in order design reliable inte gritted circuits, it is necessary to analyze how decisions regarding design rules affect the yield, i.e., the percentage of functional chips obtained by the manufacturing process. Of equal importance in producing reliable computers is the detection of failures in its Very Large Scale Integrated (VLSI) circuit components, caused by errors in the design specification, implementation, or manufacturing processes. Design verification involves the checking of the specification of a design for correctness prior to carrying out an implementation. Implementation verification ensures that the manual design or automatic synthesis process is correct, i.e., the mask-level description correctly implements the specification. Manufacture test involves the checking of the complex fabrication process for correctness, i.e., ensuring that there are no manufacturing defects in the integrated circuit. It should be noted that all the above verification mechanisms deal not only with verifying the functionality of the integrated circuit but also its performance."

Behavioral Synthesis and Component Reuse with VHDL (Paperback, Softcover reprint of the original 1st ed. 1997): Ahmed Amine... Behavioral Synthesis and Component Reuse with VHDL (Paperback, Softcover reprint of the original 1st ed. 1997)
Ahmed Amine Jerraya, Hong Ding, Polen Kission, Maher Rahmouni
R4,009 Discovery Miles 40 090 Ships in 18 - 22 working days

Improvement in the quality of integrated circuit designs and a designer's productivity can be achieved by a combination of two factors: * Using more structured design methodologies for extensive reuse of existing components and subsystems. It seems that 70% of new designs correspond to existing components that cannot be reused because of a lack of methodologies and tools. * Providing higher level design tools allowing to start from a higher level of abstraction. After the success and the widespread acceptance of logic and RTL synthesis, the next step is behavioral synthesis, commonly called architectural or high-level synthesis. Behavioral Synthesis and Component Reuse with VHDL provides methods and techniques for VHDL based behavioral synthesis and component reuse. The goal is to develop VHDL modeling strategies for emerging behavioral synthesis tools. Special attention is given to structured and modular design methods allowing hierarchical behavioral specification and design reuse.The goal of this book is not to discuss behavioral synthesis in general or to discuss a specific tool but to describe the specific issues related to behavioral synthesis of VHDL description. This book targets designers who have to use behavioral synthesis tools or who wish to discover the real possibilities of this emerging technology. The book will also be of interest to teachers and students interested to learn or to teach VHDL based behavioral synthesis.

Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Discovering AutoCAD 2017
Mark Dix, Paul Riley Paperback R2,324 Discovery Miles 23 240
FreeCAD 0.20 Black Book
Gaurav Verma, Matt Weber Hardcover R2,171 Discovery Miles 21 710
Introduction to Engineering Design
Andrew Samuel, John Weir Paperback R1,614 Discovery Miles 16 140
Mem-elements for Neuromorphic Circuits…
Christos Volos, Viet-Thanh Pham Paperback R3,613 Discovery Miles 36 130
Computer-Aided Design of Fluid Mixing…
W.Roy Penney Paperback R3,809 Discovery Miles 38 090
CAD for the Workshop
Neill Hughes Hardcover R457 Discovery Miles 4 570
Autodesk CFD 2023 Black Book
Gaurav Verma, Matt Weber Hardcover R1,786 Discovery Miles 17 860
SolidWorks CAM 2022 Black Book (Colored)
Gaurav Verma, Matt Weber Hardcover R1,477 Discovery Miles 14 770
Digital Control Engineering - Analysis…
M. Sami Fadali, Antonio Visioli Paperback R2,709 Discovery Miles 27 090
ETABS V20 Black Book
Gaurav Verma Hardcover R1,793 Discovery Miles 17 930

 

Partners