0
Your cart

Your cart is empty

Browse All Departments
Price
  • R100 - R250 (12)
  • R250 - R500 (38)
  • R500+ (3,096)
  • -
Status
Format
Author / Contributor
Publisher

Books > Computing & IT > Computer hardware & operating systems > Computer architecture & logic design

The Architecture of Information - Architecture, Interaction Design and the Patterning of Digital Information (Hardcover):... The Architecture of Information - Architecture, Interaction Design and the Patterning of Digital Information (Hardcover)
Martyn Dade-Robertson
R5,481 Discovery Miles 54 810 Ships in 10 - 15 working days

This book looks at relationships between the organisation of physical objects in space and the organisation of ideas. Historical, philosophical, psychological and architectural knowledge are united to develop an understanding of the relationship between information and its representation. Despite its potential to break the mould, digital information has relied on metaphors from a pre-digital era. In particular, architectural ideas have pervaded discussions of digital information, from the urbanisation of cyberspace in science fiction, through to the adoption of spatial visualisations in the design of graphical user interfaces. This book tackles: * the historical importance of physical places to the organisation and expression of knowledge * the limitations of using the physical organisation of objects as the basis for systems of categorisation and taxonomy * the emergence of digital technologies and the 20th century new conceptual understandings of knowledge and its organisation * the concept of disconnecting storage of information objects from their presentation and retrieval * ideas surrounding semantic space' * the realities of the types of user interface which now dominate modern computing.

Twenty Five Years of Constructive Type Theory (Hardcover): Giovanni Sambin, Jan M Smith Twenty Five Years of Constructive Type Theory (Hardcover)
Giovanni Sambin, Jan M Smith
R2,736 Discovery Miles 27 360 Ships in 10 - 15 working days

Per Martin-Loef's work on the development of constructive type theory has been of huge significance in the fields of logic and the foundations of mathematics. It is also of broader philosophical significance, and has important applications in areas such as computing science and linguistics. This volume draws together contributions from researchers whose work builds on the theory developed by Martin-Loef over the last twenty-five years. As well as celebrating the anniversary of the birth of the subject it covers many of the diverse fields which are now influenced by type theory. It is an invaluable record of areas of current activity, but also contains contributions from N. G. de Bruijn and William Tait, both important figures in the early development of the subject. Also published for the first time is one of Per Martin-Loef's earliest papers.

Mining Very Large Databases with Parallel Processing (Hardcover, 2000 ed.): Alex A. Freitas, Simon H. Lavington Mining Very Large Databases with Parallel Processing (Hardcover, 2000 ed.)
Alex A. Freitas, Simon H. Lavington
R5,260 Discovery Miles 52 600 Ships in 18 - 22 working days

Mining Very Large Databases with Parallel Processing addresses the problem of large-scale data mining. It is an interdisciplinary text, describing advances in the integration of three computer science areas, namely intelligent' (machine learning-based) data mining techniques, relational databases and parallel processing. The basic idea is to use concepts and techniques of the latter two areas - particularly parallel processing - to speed up and scale up data mining algorithms. The book is divided into three parts. The first part presents a comprehensive review of intelligent data mining techniques such as rule induction, instance-based learning, neural networks and genetic algorithms. Likewise, the second part presents a comprehensive review of parallel processing and parallel databases. Each of these parts includes an overview of commercially-available, state-of-the-art tools. The third part deals with the application of parallel processing to data mining. The emphasis is on finding generic, cost-effective solutions for realistic data volumes. Two parallel computational environments are discussed, the first excluding the use of commercial-strength DBMS, and the second using parallel DBMS servers. It is assumed that the reader has a knowledge roughly equivalent to a first degree (BSc) in accurate sciences, so that (s)he is reasonably familiar with basic concepts of statistics and computer science. The primary audience for Mining Very Large Databases with Parallel Processing is industry data miners and practitioners in general, who would like to apply intelligent data mining techniques to large amounts of data. The book will also be of interest to academic researchers and postgraduate students, particularly database researchers, interested in advanced, intelligent database applications, and artificial intelligence researchers interested in industrial, real-world applications of machine learning.

Post-Silicon Validation and Debug (Hardcover, 1st ed. 2019): Prabhat Mishra, Farimah Farahmandi Post-Silicon Validation and Debug (Hardcover, 1st ed. 2019)
Prabhat Mishra, Farimah Farahmandi
R4,008 Discovery Miles 40 080 Ships in 10 - 15 working days

This book provides a comprehensive coverage of System-on-Chip (SoC) post-silicon validation and debug challenges and state-of-the-art solutions with contributions from SoC designers, academic researchers as well as SoC verification experts. The readers will get a clear understanding of the existing debug infrastructure and how they can be effectively utilized to verify and debug SoCs.

Sensation and Measurement - Papers in Honor of S. S. Stevens (Hardcover, 1974 ed.): H.R. Moskowitz, B. Scharf, J.C. Stevens Sensation and Measurement - Papers in Honor of S. S. Stevens (Hardcover, 1974 ed.)
H.R. Moskowitz, B. Scharf, J.C. Stevens
R5,223 Discovery Miles 52 230 Ships in 18 - 22 working days

We planned this book as a Festschrift for Smitty Stevens because we thought he might be retiring around 1974, although we knew very well that only death or deep illness would stop Smitty from doing science. Death came suddenly, unexpectedly - after a full day of skiing at Vail, Colorado on the annual trip with wife Didi to the Winter Conference on Brain Research. Smitty liked winter conferences near ski resorts and often tried to get us other psychophysicists to organize one. Every person is unique. Smitty would have said it's mainly because each of us has so many genes that two combinations just alike would be well-nigh impossible. But most of us strive in many ways to be like others, and to abide by the norms (some smaller number try even harder to be unlike other people); as a result many persons seem to lose their uniqueness, their individuality. Not Smitty. He tried neither to be like others nor to be different. He took himself as he found himself, and ascribed peculiarities, strengths, and weaknesses to his pioneering Utah forebears, in whom he took much pride. His was the true and right nonconformity. He approached each task, each problem, ready to grapple with the facts and set them into meaningful order. And if the answer he came up with was different from everyone else's, well that was too bad.

The Interaction of Compilation Technology and Computer Architecture (Hardcover, 1994 ed.): David J. Lilja, Peter L. Bird The Interaction of Compilation Technology and Computer Architecture (Hardcover, 1994 ed.)
David J. Lilja, Peter L. Bird
R2,812 Discovery Miles 28 120 Ships in 18 - 22 working days

In brief summary, the following results were presented in this work: * A linear time approach was developed to find register requirements for any specified CS schedule or filled MRT. * An algorithm was developed for finding register requirements for any kernel that has a dependence graph that is acyclic and has no data reuse on machines with depth independent instruction templates. * We presented an efficient method of estimating register requirements as a function of pipeline depth. * We developed a technique for efficiently finding bounds on register require ments as a function of pipeline depth. * Presented experimental data to verify these new techniques. * discussed some interesting design points for register file size on a number of different architectures. REFERENCES [1] Robert P. Colwell, Robert P. Nix, John J O'Donnell, David B Papworth, and Paul K. Rodman. A VLIW Architecture for a Trace Scheduling Com piler. In Architectural Support for Programming Languages and Operating Systems, pages 180-192, 1982. [2] C. Eisenbeis, W. Jalby, and A. Lichnewsky. Compile-Time Optimization of Memory and Register Usage on the Cray-2. In Proceedings of the Second Workshop on Languages and Compilers, Urbana l/inois, August 1989. [3] C. Eisenbeis, William Jalby, and Alain Lichnewsky. Squeezing More CPU Performance Out of a Cray-2 by Vector Block Scheduling. In Proceedings of Supercomputing '88, pages 237-246, 1988. [4] Michael J. Flynn. Very High-Speed Computing Systems. Proceedings of the IEEE, 54:1901-1909, December 1966.

Quality-Driven SystemC Design (Hardcover, 2010 ed.): Daniel Grosse, Rolf Drechsler Quality-Driven SystemC Design (Hardcover, 2010 ed.)
Daniel Grosse, Rolf Drechsler
R2,750 Discovery Miles 27 500 Ships in 18 - 22 working days

A quality-driven design and verification flow for digital systems is developed and presented in Quality-Driven SystemC Design. Two major enhancements characterize the new flow: First, dedicated verification techniques are integrated which target the different levels of abstraction. Second, each verification technique is complemented by an approach to measure the achieved verification quality. The new flow distinguishes three levels of abstraction (namely system level, top level and block level) and can be incorporated in existing approaches. After reviewing the preliminary concepts, in the following chapters the three levels for modeling and verification are considered in detail. At each level the verification quality is measured. In summary, following the new design and verification flow a high overall quality results.

Hardware Protection through Obfuscation (Hardcover, 1st ed. 2017): Domenic Forte, Swarup Bhunia, Mark M. Tehranipoor Hardware Protection through Obfuscation (Hardcover, 1st ed. 2017)
Domenic Forte, Swarup Bhunia, Mark M. Tehranipoor
R4,438 Discovery Miles 44 380 Ships in 10 - 15 working days

This book introduces readers to various threats faced during design and fabrication by today's integrated circuits (ICs) and systems. The authors discuss key issues, including illegal manufacturing of ICs or "IC Overproduction," insertion of malicious circuits, referred as "Hardware Trojans", which cause in-field chip/system malfunction, and reverse engineering and piracy of hardware intellectual property (IP). The authors provide a timely discussion of these threats, along with techniques for IC protection based on hardware obfuscation, which makes reverse-engineering an IC design infeasible for adversaries and untrusted parties with any reasonable amount of resources. This exhaustive study includes a review of the hardware obfuscation methods developed at each level of abstraction (RTL, gate, and layout) for conventional IC manufacturing, new forms of obfuscation for emerging integration strategies (split manufacturing, 2.5D ICs, and 3D ICs), and on-chip infrastructure needed for secure exchange of obfuscation keys- arguably the most critical element of hardware obfuscation.

Database Machines and Knowledge Base Machines (Hardcover, 1988 ed.): Masaru Kitsuregawa, Hidehiko Tanaka Database Machines and Knowledge Base Machines (Hardcover, 1988 ed.)
Masaru Kitsuregawa, Hidehiko Tanaka
R8,013 Discovery Miles 80 130 Ships in 18 - 22 working days

This volume contains the papers presented at the Fifth International Workshop on Database Machines. The papers cover a wide spectrum of topics on Database Machines and Knowledge Base Machines. Reports of major projects, ECRC, MCC, and ICOT are included. Topics on DBM cover new database machine architectures based on vector processing and hypercube parallel processing, VLSI oriented architecture, filter processor, sorting machine, concurrency control mechanism for DBM, main memory database, interconnection network for DBM, and performance evaluation. In this workshop much more attention was given to knowledge base management as compared to the previous four workshops. Many papers discuss deductive database processing. Architectures for semantic network, prolog, and production system were also proposed. We would like to express our deep thanks to all those who contributed to the success of the workshop. We would also like to express our apprecia tion for the valuable suggestions given to us by Prof. D. K. Hsiao, Prof. D."

Software for Parallel Computation (Hardcover, 1993 ed.): Janusz S. Kowalik, Lucio Grandinetti Software for Parallel Computation (Hardcover, 1993 ed.)
Janusz S. Kowalik, Lucio Grandinetti
R2,853 Discovery Miles 28 530 Ships in 18 - 22 working days

This volume contains papers presented at the NATO sponsored Advanced Research Workshop on "Software for Parallel Computation" held at the University of Calabria, Cosenza, Italy, from June 22 to June 26, 1992. The purpose of the workshop was to evaluate the current state-of-the-art of the software for parallel computation, identify the main factors inhibiting practical applications of parallel computers and suggest possible remedies. In particular it focused on parallel software, programming tools, and practical experience of using parallel computers for solving demanding problems. Critical issues relative to the practical use of parallel computing included: portability, reusability and debugging, parallelization of sequential programs, construction of parallel algorithms, and performance of parallel programs and systems. In addition to NATO, the principal sponsor, the following organizations provided a generous support for the workshop: CERFACS, France, C.I.R.A., Italy, C.N.R., Italy, University of Calabria, Italy, ALENIA, Italy, The Boeing Company, U.S.A., CISE, Italy, ENEL - D.S.R., Italy, Alliant Computer Systems, Bull RN Sud, Italy, Convex Computer, Digital Equipment Corporation, Rewlett Packard, Meiko Scientific, U.K., PARSYTEC Computer, Germany, TELMAT Informatique, France, Thinking Machines Corporation.

Deductive Program Design (Hardcover, 1996 ed.): Manfred Broy Deductive Program Design (Hardcover, 1996 ed.)
Manfred Broy
R5,402 Discovery Miles 54 020 Ships in 18 - 22 working days

Advanced research on the description of distributed systems and on design calculi for software and hardware is presented in this volume. Distinguished researchers give an overview of the latest state of the art.

Parallel-Vector Equation Solvers for Finite Element Engineering Applications (Hardcover, 2002 ed.): Duc Thai Nguyen Parallel-Vector Equation Solvers for Finite Element Engineering Applications (Hardcover, 2002 ed.)
Duc Thai Nguyen
R4,276 Discovery Miles 42 760 Ships in 18 - 22 working days

Despite the ample number of articles on parallel-vector computational algorithms published over the last 20 years, there is a lack of texts in the field customized for senior undergraduate and graduate engineering research. Parallel-Vector Equation Solvers for Finite Element Engineering Applications aims to fill this gap, detailing both the theoretical development and important implementations of equation-solution algorithms. The mathematical background necessary to understand their inception balances well with descriptions of their practical uses. Illustrated with a number of state-of-the-art FORTRAN codes developed as examples for the book, Dr. Nguyen's text is a perfect choice for instructors and researchers alike.

Model-Driven Design Using IEC 61499 - A Synchronous Approach for Embedded and Automation Systems (Hardcover, 2015 ed.): Li... Model-Driven Design Using IEC 61499 - A Synchronous Approach for Embedded and Automation Systems (Hardcover, 2015 ed.)
Li Hsien Yoong, Partha S. Roop, Zeeshan E. Bhatti, Matthew M. Y. Kuo
R3,865 R3,335 Discovery Miles 33 350 Save R530 (14%) Ships in 10 - 15 working days

This book describes a novel approach for the design of embedded systems and industrial automation systems, using a unified model-driven approach that is applicable in both domains. The authors illustrate their methodology, using the IEC 61499 standard as the main vehicle for specification, verification, static timing analysis and automated code synthesis. The well-known synchronous approach is used as the main vehicle for defining an unambiguous semantics that ensures determinism and deadlock freedom. The proposed approach also ensures very efficient implementations either on small-scale embedded devices or on industry-scale programmable automation controllers (PACs). It can be used for both centralized and distributed implementations. Significantly, the proposed approach can be used without the need for any run-time support. This approach, for the first time, blurs the gap between embedded systems and automation systems and can be applied in wide-ranging applications in automotive, robotics, and industrial control systems. Several realistic examples are used to demonstrate for readers how the methodology can enable them to reduce the time-to-market, while improving the design quality and productivity.

Ultra-Low Energy Domain-Specific Instruction-Set Processors (Hardcover, 2010 ed.): Francky Catthoor, Praveen Raghavan, Andy... Ultra-Low Energy Domain-Specific Instruction-Set Processors (Hardcover, 2010 ed.)
Francky Catthoor, Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Angeliki Kritikakou, …
R4,239 Discovery Miles 42 390 Ships in 18 - 22 working days

Modern consumers carry many electronic devices, like a mobile phone, digital camera, GPS, PDA and an MP3 player. The functionality of each of these devices has gone through an important evolution over recent years, with a steep increase in both the number of features as in the quality of the services that they provide. However, providing the required compute power to support (an uncompromised combination of) all this functionality is highly non-trivial. Designing processors that meet the demanding requirements of future mobile devices requires the optimization of the embedded system in general and of the embedded processors in particular, as they should strike the correct balance between flexibility, energy efficiency and performance. In general, a designer will try to minimize the energy consumption (as far as needed) for a given performance, with a sufficient flexibility. However, achieving this goal is already complex when looking at the processor in isolation, but, in reality, the processor is a single component in a more complex system. In order to design such complex system successfully, critical decisions during the design of each individual component should take into account effect on the other parts, with a clear goal to move to a global Pareto optimum in the complete multi-dimensional exploration space.

In the complex, global design of battery-operated embedded systems, the focus of Ultra-Low Energy Domain-Specific Instruction-Set Processors is on the energy-aware architecture exploration of domain-specific instruction-set processors and the co-optimization of the datapath architecture, foreground memory, and instruction memory organisation with a link to the required mapping techniques or compiler steps at the early stages of the design. By performing an extensive energy breakdown experiment for a complete embedded platform, both energy and performance bottlenecks have been identified, together with the important relations between the different components. Based on this knowledge, architecture extensions are proposed for all the bottlenecks.

Worst-Case Execution Time Aware Compilation Techniques for Real-Time Systems (Hardcover, 2011): Paul Lokuciejewski, Peter... Worst-Case Execution Time Aware Compilation Techniques for Real-Time Systems (Hardcover, 2011)
Paul Lokuciejewski, Peter Marwedel
R4,157 Discovery Miles 41 570 Ships in 18 - 22 working days

For real-time systems, the worst-case execution time (WCET) is the key objective to be considered. Traditionally, code for real-time systems is generated without taking this objective into account and the WCET is computed only after code generation. Worst-Case Execution Time Aware Compilation Techniques for Real-Time Systems presents the first comprehensive approach integrating WCET considerations into the code generation process. Based on the proposed reconciliation between a compiler and a timing analyzer, a wide range of novel optimization techniques is provided. Among others, the techniques cover source code and assembly level optimizations, exploit machine learning techniques and address the design of modern systems that have to meet multiple objectives.

Using these optimizations, the WCET of real-time applications can be reduced by about 30% to 45% on the average. This opens opportunities for decreasing clock speeds, costs and energy consumption of embedded processors. The proposed techniques can be used for all types real-time systems, including automotive and avionics IT systems.

VLSI for Artificial Intelligence and Neural Networks - International Workshop Proceedings (Hardcover, New): Jose G.Delgado-... VLSI for Artificial Intelligence and Neural Networks - International Workshop Proceedings (Hardcover, New)
Jose G.Delgado- Frias, Will Moore
R2,471 Discovery Miles 24 710 Ships in 10 - 15 working days

Architecture and Hardware Support for AI Processing: VLSI Design of a 3D Highly Parallel MessagePassing Architecture (J.L. Bechennec et al.). Architectural Design of the Rewrite Rule Machine Ensemble (H. Aida et al.). A Dataflow Architecture for AI (J. DelgadoFrias et al.). Machines for Prolog: An Extended Prolog Instruction Set for RISC Processors (A. Krall). A VLSI Engine for Structured Logic Programming (P. Civera et al.). Performance Evaluation of a VLSI Associative Unifier in a WAM Based Environment (P. Civera et al.). Analogue and Pulse Stream Neural Networks: Computational Capabilities of BiologicallyRealistic Analog Processing Elements (C. Fields et al.). Analog VLSI Models of Mean Field Networks (C. Schneider et al.). An Analogue Neuron Suitable for a Data Frame Architecture (W.A.J. Waller et al.). Digital Implementations of Neural Networks: The VLSI Implementation of the sigma Architecture (S.R. Williams et al.). A Cascadable VLSI Architecture for the Realization of Large Binary Associative Networks (W. Poechmueller et al.). Digital VLSI Implementations of an Associative memory Based on Neural Networks (U. Ruckert). Arrays for Neural Networks: A Highly Parallel Digital Architecture for Neural Network Emulation (D. Hammerstrom). 26 additional articles. Index.

SystemVerilog Assertions and Functional Coverage - Guide to Language, Methodology and Applications (Hardcover, 2014 ed.): Ashok... SystemVerilog Assertions and Functional Coverage - Guide to Language, Methodology and Applications (Hardcover, 2014 ed.)
Ashok B. Mehta
R4,468 Discovery Miles 44 680 Ships in 10 - 15 working days

This book provides a hands-on, application-oriented guide to the language and methodology of both SystemVerilog Assertions and SytemVerilog Functional Coverage. Readers will benefit from the step-by-step approach to functional hardware verification, which will enable them to uncover hidden and hard to find bugs, point directly to the source of the bug, provide for a clean and easy way to model complex timing checks and objectively answer the question 'have we functionally verified everything'. Written by a professional end-user of both SystemVerilog Assertions and SystemVerilog Functional Coverage, this book explains each concept with easy to understand examples, simulation logs and applications derived from real projects. Readers will be empowered to tackle the modeling of complex checkers for functional verification, thereby drastically reducing their time to design and debug.

Partial Reconfiguration on FPGAs - Architectures, Tools and Applications (Hardcover, 2012 ed.): Dirk Koch Partial Reconfiguration on FPGAs - Architectures, Tools and Applications (Hardcover, 2012 ed.)
Dirk Koch
R4,044 Discovery Miles 40 440 Ships in 18 - 22 working days

This is the first book to focus on designing run-time reconfigurable systems on FPGAs, in order to gain resource and power efficiency, as well as to improve speed. Case studies in partial reconfiguration guide readers through the FPGA jungle, straight toward a working system. The discussion of partial reconfiguration is comprehensive and practical, with models introduced together with methods to implement efficiently the corresponding systems. Coverage includes concepts for partial module integration and corresponding communication architectures, floorplanning of the on-FPGA resources, physical implementation aspects starting from constraining primitive placement and routing all the way down to the bitstream required to configure the FPGA, and verification of reconfigurable systems.

High Performance Integer Arithmetic Circuit Design on FPGA - Architecture, Implementation and Design Automation (Hardcover, 1st... High Performance Integer Arithmetic Circuit Design on FPGA - Architecture, Implementation and Design Automation (Hardcover, 1st ed. 2016)
Ayan Palchaudhuri, Rajat Subhra Chakraborty
R3,161 Discovery Miles 31 610 Ships in 18 - 22 working days

This book describes the optimized implementations of several arithmetic datapath, controlpath and pseudorandom sequence generator circuits for realization of high performance arithmetic circuits targeted towards a specific family of the high-end Field Programmable Gate Arrays (FPGAs). It explores regular, modular, cascadable and bit-sliced architectures of these circuits, by directly instantiating the target FPGA-specific primitives in the HDL. Every proposed architecture is justified with detailed mathematical analyses. Simultaneously, constrained placement of the circuit building blocks is performed, by placing the logically related hardware primitives in close proximity to one another by supplying relevant placement constraints in the Xilinx proprietary "User Constraints File". The book covers the implementation of a GUI-based CAD tool named FlexiCore integrated with the Xilinx Integrated Software Environment (ISE) for design automation of platform-specific high-performance arithmetic circuits from user-level specifications. This tool has been used to implement the proposed circuits, as well as hardware implementations of integer arithmetic algorithms where several of the proposed circuits are used as building blocks. Implementation results demonstrate higher performance and superior operand-width scalability for the proposed circuits, with respect to implementations derived through other existing approaches. This book will prove useful to researchers, students and professionals engaged in the domain of FPGA circuit optimization and implementation.

Multi-Net Optimization of VLSI Interconnect (Hardcover, 2012): Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Multi-Net Optimization of VLSI Interconnect (Hardcover, 2012)
Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer
R3,383 Discovery Miles 33 830 Ships in 10 - 15 working days

This book covers layout design and layout migration methodologies for optimizing multi-net wire structures in advanced VLSI interconnects. Scaling-dependent models for interconnect power, interconnect delay and crosstalk noise are covered in depth, and several design optimization problems are addressed, such as minimization of interconnect power under delay constraints, or design for minimal delay in wire bundles within a given routing area. A handy reference or a guide for design methodologies and layout automation techniques, this book provides a foundation for physical design challenges of interconnect in advanced integrated circuits.

VLSI Design Handbook: Volume II (Hardcover): Martin Limestone VLSI Design Handbook: Volume II (Hardcover)
Martin Limestone
R3,162 R2,865 Discovery Miles 28 650 Save R297 (9%) Ships in 18 - 22 working days
Memory Performance of Prolog Architectures (Hardcover, 1988 ed.): Evan Tick Memory Performance of Prolog Architectures (Hardcover, 1988 ed.)
Evan Tick
R4,146 Discovery Miles 41 460 Ships in 18 - 22 working days

One suspects that the people who use computers for their livelihood are growing more "sophisticated" as the field of computer science evolves. This view might be defended by the expanding use of languages such as C and Lisp in contrast to the languages such as FORTRAN and COBOL. This hypothesis is false however - computer languages are not like natural languages where successive generations stick with the language of their ancestors. Computer programmers do not grow more sophisticated - programmers simply take the time to muddle through the increasingly complex language semantics in an attempt to write useful programs. Of course, these programmers are "sophisticated" in the same sense as are hackers of MockLisp, PostScript, and Tex - highly specialized and tedious languages. It is quite frustrating how this myth of sophistication is propagated by some industries, universities, and government agencies. When I was an undergraduate at MIT, I distinctly remember the convoluted questions on exams concerning dynamic scoping in Lisp - the emphasis was placed solely on a "hacker's" view of computation, i. e. , the control and manipulation of storage cells. No consideration was given to the logical structure of programs. Within the past five years, Ada and Common Lisp have become programming language standards, despite their complexity (note that dynamic scoping was dropped even from Common Lisp). Of course, most industries' selection of programming languages are primarily driven by the requirement for compatibility (with previous software) and performance.

Design Technology for Heterogeneous Embedded Systems (Hardcover, 2012): Gabriela Nicolescu, Ian O'Connor, Christian Piguet Design Technology for Heterogeneous Embedded Systems (Hardcover, 2012)
Gabriela Nicolescu, Ian O'Connor, Christian Piguet
R2,733 Discovery Miles 27 330 Ships in 18 - 22 working days

Design technology to address the new and vast problem of heterogeneous embedded systems design while remaining compatible with standard "More Moore" flows, i.e. capable of simultaneously handling both silicon complexity and system complexity, represents one of the most important challenges facing the semiconductor industry today and will be for several years to come. While the micro-electronics industry, over the years and with its spectacular and unique evolution, has built its own specific design methods to focus mainly on the management of complexity through the establishment of abstraction levels, the emergence of device heterogeneity requires new approaches enabling the satisfactory design of physically heterogeneous embedded systems for the widespread deployment of such systems.

Heterogeneous Embedded Systems, compiled largely from a set of contributions from participants of past editions of the Winter School on Heterogeneous Embedded Systems Design Technology (FETCH), proposes a necessarily broad and holistic overview of design techniques used to tackle the various facets of heterogeneity in terms of technology and opportunities at the physical level, signal representations and different abstraction levels, architectures and components based on hardware and software, in all the main phases of design (modeling, validation with multiple models of computation, synthesis and optimization). It concentrates on the specific issues at the interfaces, and is divided into two main parts. The first part examines mainly theoretical issues and focuses on the modeling, validation and design techniques themselves. The second part illustrates the use of these methods in various design contexts at the forefront of new technology and architectural developments.

Handbook on Parallel and Distributed Processing (Hardcover, 2000 ed.): Jacek Blazewicz, Klaus Ecker, Brigitte Plateau, Denis... Handbook on Parallel and Distributed Processing (Hardcover, 2000 ed.)
Jacek Blazewicz, Klaus Ecker, Brigitte Plateau, Denis Trystram
R5,264 Discovery Miles 52 640 Ships in 18 - 22 working days

In this volume authors of academia and practice provide practitioners, scientists and graduate students with a good overview of basic methods and paradigms, as well as important issues and trends across the broad spectrum of parallel and distributed processing. In particular, the book covers fundamental topics such as efficient parallel algorithms, languages for parallel processing, parallel operating systems, architecture of parallel and distributed systems, management of resources, tools for parallel computing, parallel database systems and multimedia object servers, and networking aspects of distributed and parallel computing. Three chapters are dedicated to applications: parallel and distributed scientific computing, high-performance computing in molecular sciences, and multimedia applications for parallel and distributed systems. Summing up, the Handbook is indispensable for academics and professionals who are interested in learning the leading expert`s view of the topic.

VLSI Design Handbook: Volume I (Hardcover): Martin Limestone VLSI Design Handbook: Volume I (Hardcover)
Martin Limestone
R3,160 R2,863 Discovery Miles 28 630 Save R297 (9%) Ships in 18 - 22 working days
Free Delivery
Pinterest Twitter Facebook Google+
You may like...
Advanced Introduction to Urban Transport…
Kevin J. Krizek, David A. King Hardcover R3,065 Discovery Miles 30 650
Emotion in Memory and Development…
Jodi Quas, Robyn Fivush Hardcover R1,897 Discovery Miles 18 970
Urban and Maritime Transport XXVIII
Giorgio Passerini, S Ricci Hardcover R2,778 Discovery Miles 27 780
The Container Gardener
Frances Tophill Hardcover R497 Discovery Miles 4 970
VW Bulli T2: Build Your Own VW Type 2…
Franzis Verlag GmBH Kit R878 R480 Discovery Miles 4 800
Origami Book for Beginners - A…
Yuto Kanazawa Hardcover R704 R624 Discovery Miles 6 240
Beyond the Deck - Critical Essays on…
Shelly Jones Paperback R872 Discovery Miles 8 720
Guide To Sieges Of South Africa…
Nicki Von Der Heyde Paperback  (4)
R250 R231 Discovery Miles 2 310
Brilliant Laptops
Joli Ballew Paperback  (1)
R508 R454 Discovery Miles 4 540
Light Through The Bars - Understanding…
Babychan Arackathara Paperback R30 R28 Discovery Miles 280

 

Partners