![]() |
![]() |
Your cart is empty |
||
Books > Professional & Technical > Electronics & communications engineering > Electronics engineering > Circuits & components
This text is about methods used for the computer simulation of analog systems. It concentrates on electronic applications, but many of the methods are applicable to other engineering problems as well. This revised edition (1st, 1983) encompasses recent theoretical developments and program-writing ti
This textbook serves as an introduction to the subject of embedded
systems design, using microcontrollers as core components. It
develops concepts from the ground up, covering the development of
embedded systems technology, architectural and organizational
aspects of controllers and systems, processor models, and
peripheral devices. Since microprocessor-based embedded systems
tightly blend hardware and software components in a single
application, the book also introduces the subjects of data
representation formats, data operations, and programming styles.
The practical component of the book is tailored around the
architecture of a widely used
This book provides a multidisciplinary overview of the design and implementation of systems for remote patient monitoring and healthcare. Readers are guided step-by-step through the components of such a system and shown how they could be integrated in a coherent framework for deployment in practice. The authors explain planning from subsystem design to complete integration and deployment, given particular application constraints. Readers will benefit from descriptions of the clinical requirements underpinning the entire application scenario, physiological parameter sensing techniques, information processing approaches and overall, application dependent system integration. Each chapter ends with a discussion of practical design challenges and two case studies are included to provide practical examples and design methods for two remote healthcare systems with different needs.
Regular Nanofabrics in Emerging Technologies gives a deep insight into both fabrication and design aspects of emerging semiconductor technologies, that represent potential candidates for the post-CMOS era. Its approach is unique, across different fields, and it offers a synergetic view for a public of different communities ranging from technologists, to circuit designers, and computer scientists. The book presents two technologies as potential candidates for future semiconductor devices and systems and it shows how fabrication issues can be addressed at the design level and vice versa. The reader either for academic or research purposes will find novel material that is explained carefully for both experts and non-initiated readers. Regular Nanofabrics in Emerging Technologies is a survey of post-CMOS technologies. It explains processing, circuit and system level design for people with various backgrounds.
This book describes the trends, challenges and solutions in computing use for scientific research and development within different domains in Africa, such as health, agriculture, environment, economy, energy, education and engineering. The benefits expected are discussed by a number of recognized, domain-specific experts, with a common theme being computing as solution enabler. This book is the first document providing such a representative up-to-date view on this topic at the continent level.
This course-based text revisits classic concepts in nonlinear circuit theory from a very much introductory point of view: the presentation is completely self-contained and does not assume any prior knowledge of circuit theory. It is simply assumed that readers have taken a first-year undergraduate course in differential and integral calculus, along with an elementary physics course in classical mechanics and electrodynamics. Further, it discusses topics not typically found in standard textbooks, such as nonlinear operational amplifier circuits, nonlinear chaotic circuits and memristor networks. Each chapter includes a set of illustrative and worked examples, along with end-of-chapter exercises and lab exercises using the QUCS open-source circuit simulator. Solutions and other material are provided on the YouTube channel created for this book by the authors.
This book describes recent findings in the domain of Boolean logic and Boolean algebra, covering application domains in circuit and system design, but also basic research in mathematics and theoretical computer science. Content includes invited chapters and a selection of the best papers presented at the 13th annual International Workshop on Boolean Problems. Provides a single-source reference to the state-of-the-art research in the field of logic synthesis and Boolean techniques; Includes a selection of the best papers presented at the 13th annual International Workshop on Boolean Problems; Covers Boolean algebras, Boolean logic, Boolean modeling, Combinatorial Search, Boolean and bitwise arithmetic, Software and tools for the solution of Boolean problems, Applications of Boolean logic and algebras, Applications to real-world problems, Boolean constraint solving, and Extensions of Boolean logic.
Chaos and nonlinear dynamics initially developed as a new emergent field with its foundation in physics and applied mathematics. The highly generic, interdisciplinary quality of the insights gained in the last few decades has spawned myriad applications in almost all branches of science and technology-and even well beyond. Wherever quantitative modeling and analysis of complex, nonlinear phenomena is required, chaos theory and its methods can play a key role. his fourth volume concentrates on reviewing further relevant contemporary applications of chaotic and nonlinear dynamics as they apply to the various cuttingedge branches of science and engineering. This encompasses, but is not limited to, topics such as synchronization in complex networks and chaotic circuits, time series analysis, ecological and biological patterns, stochastic control theory and vibrations in mechanical systems. Featuring contributions from active and leading research groups, this collection is ideal both as a reference and as a 'recipe book' full of tried and tested, successful engineering applications.
Logic Synthesis Using Synopsys (R), Second Edition is for anyone who hates reading manuals but would still like to learn logic synthesis as practised in the real world. Synopsys Design Compiler, the leading synthesis tool in the EDA marketplace, is the primary focus of the book. The contents of this book are specially organized to assist designers accustomed to schematic capture-based design to develop the required expertise to effectively use the Synopsys Design Compiler. Over 100 `Classic Scenarios' faced by designers when using the Design Compiler have been captured, discussed and solutions provided. These scenarios are based on both personal experiences and actual user queries. A general understanding of the problem-solving techniques provided should help the reader debug similar and more complicated problems. In addition, several examples and dc_shell scripts (Design Compiler scripts) have also been provided. Logic Synthesis Using Synopsys (R), Second Edition is an updated and revised version of the very successful first edition. The second edition covers several new and emerging areas, in addition to improvements in the presentation and contents in all chapters from the first edition. With the rapid shrinking of process geometries it is becoming increasingly important that `physical' phenomenon like clusters and wire loads be considered during the synthesis phase. The increasing demand for FPGAs has warranted a greater focus on FPGA synthesis tools and methodology. Finally, behavioral synthesis, the move to designing at a higher level of abstraction than RTL, is fast becoming a reality. These factors have resulted in the inclusion of separate chapters in the second edition to cover Links to Layout, FPGA Synthesis and Behavioral Synthesis, respectively. Logic Synthesis Using Synopsys (R), Second Edition has been written with the CAD engineer in mind. A clear understanding of the synthesis tool concepts, its capabilities and the related CAD issues will help the CAD engineer formulate an effective synthesis-based ASIC design methodology. The intent is also to assist design teams to better incorporate and effectively integrate synthesis with their existing in-house design methodology and CAD tools.
This book compiles and presents the research results from the past five years in mm-wave Silicon circuits. This area has received a great deal of interest from the research community including several university and research groups. The book covers device modeling, circuit building blocks, phased array systems, and antennas and packaging. It focuses on the techniques that uniquely take advantage of the scale and integration offered by silicon based technologies.
This book describes fault tolerance techniques based on software and hardware to create hybrid techniques. They are able to reduce overall performance degradation and increase error detection when associated with applications implemented in embedded processors. Coverage begins with an extensive discussion of the current state-of-the-art in fault tolerance techniques. The authors then discuss the best trade-off between software-based and hardware-based techniques and introduce novel hybrid techniques. Proposed techniques increase existing fault detection rates up to 100%, while maintaining low performance overheads in area and application execution time."
The Analog to Digital Converters represent one half of the link between the world we live in - analog - and the digital world of computers, which can handle the computations required in digital signal processing. These devices are mathematically very complex due to their nonlinear behavior and thus fairly difficult to analyze without the use of simulation tools. High Speed A/D Converters: Understanding Data Converters Through SPICE presents the subject from the practising engineer's point of view rather than from the academic's point of view. A practical approach is emphasized. High Speed A/D Converters: Understanding Data Converters Through SPICE is intended as a learning tool by providing building blocks that can be stacked on top of each other to build higher order systems. The book provides a guide to understanding the various topologies used in A/D converters by suggesting simple methods for the blocks used in an A/D converter. The converters discussed throughout the book constitute a class of devices called undersampled or Nyquist converters. The tools used in deriving the results presented are: TopSpiceA(R) by Penzar - a mixed mode SPICE simulator - version 5.90. The files included in Appendix A were written for this tool. However, most circuit files need only minor adjustments to be used on other SPICE simulators such as PSpice, Hspice, IS_Spice and Micro-Cap IV; Mathcad 2000 - Professional by Mathsoft. This tool is very useful in performing FFT analysis as well as drawing some of the graphs. Again, the mathcad files are included to help the user analyze the data. High Speed A/D Converters: Understanding Data Converters Through SPICE not only supplies the models for the A/Dconverters for SPICE program but also describes the physical reasons for the converter's performance.
This book details timing analysis and optimization techniques for circuits with level-sensitive memory elements. It contains a linear programming formulation applicable to the timing analysis of large scale circuits and includes a delay insertion methodology that improves the efficiency of clock skew scheduling. Coverage also provides a framework for and results from implementing timing optimization algorithms in a parallel computing environment.
This book discusses new techniques for detecting, controlling, and exploiting the impacts of temperature variations on nanoscale circuits and systems. A new sensor system is described that can determine the temperature dependence as well as the operating temperature to improve system reliability. A new method is presented to control a circuit's temperature dependence by individually tuning pull-up and pull-down networks to their temperature-insensitive operating points. This method extends the range of supply voltages that can be made temperature-insensitive, achieving insensitivity at nominal voltage for the first time.
Liquid Crystal Display Drivers deals with Liquid Crystal Displays from the electronic engineering point of view and is the first expressively focused on their driving circuits. After introducing the physical-chemical properties of the LC substances, their evolution and application to LCDs, the book converges to the examination and in-depth explanation of those reliable techniques, architectures, and design solutions amenable to efficiently design drivers for passive-matrix and active-matrix LCDs, both for small size and large size panels. Practical approaches regularly adopted for mass production but also emerging ones are discussed. The topics treated have in many cases general validity and found application also in alternative display technologies (OLEDs, Electrophoretic Displays, etc.).
The second of two volumes, this is a comprehensive treatment of nonlinear circuits, introducing the advanced topics that professionals need to understand for their RF (radio frequency) circuit design work. It presents an introduction to active RF devices and their modelling, and explores nonlinear circuit simulation techniques. Design techniques are addressed for RF transistor amplifiers, oscillators, mixers and frequency multipliers. This reference concludes with an in-depth look at circuits in systems and their radio system applications, illustrating how the components are interconnected to complete a system that meets the necessary specifications.
To handle many standards and ever increasing bandwidth requirements, large number of filters and switches are used in transceivers of modern wireless communications systems. It makes the cost, performance, form factor, and power consumption of these systems, including cellular phones, critical issues. At present, the fixed frequency filter banks based on Film Bulk Acoustic Resonators (FBAR) are regarded as one of the most promising technologies to address performance -form factor-cost issues. Even though the FBARs improve the overall performances the complexity of these systems remains high. Attempts are being made to exclude some of the filters by bringing the digital signal processing (including channel selection) as close to the antennas as possible. However handling the increased interference levels is unrealistic for low-cost battery operated radios. Replacing fixed frequency filter banks by one tuneable filter is the most desired and widely considered scenario. As an example, development of the software based cognitive radios is largely hindered by the lack of adequate agile components, first of all tuneable filters. In this sense the electrically switchable and tuneable FBARs are the most promising components to address the complex cost-performance issues in agile microwave transceivers, smart wireless sensor networks etc. Tuneable Film Bulk Acoustic Wave Resonators discusses FBAR need, physics, designs, modelling, fabrication and applications. Tuning of the resonant frequency of the FBARs is considered. Switchable and tuneable FBARs based on electric field induced piezoelectric effect in paraelectric phase ferroelectrics are covered. The resonance of these resonators may be electrically switched on and off and tuned without hysteresis. The book is aimed at microwave and sensor specialists in the industry and graduate students. Readers will learn about principles of operation and possibilities of the switchable and tuneable FBARs, and will be given general guidelines for designing, fabrication and applications of these devices.
This book is a comprehensive guide to assertion-based verification of hardware designs using System Verilog Assertions (SVA). It enables readers to minimize the cost of verification by using assertion-based techniques in simulation testing, coverage collection and formal analysis. The book provides detailed descriptions of all the language features of SVA, accompanied by step-by-step examples of how to employ them to construct powerful and reusable sets of properties. The book also shows how SVA fits into the broader System Verilog language, demonstrating the ways that assertions can interact with other System Verilog components. The reader new to hardware verification will benefit from general material describing the nature of design models and behaviors, how they are exercised, and the different roles that assertions play. This second edition covers the features introduced by the recent IEEE 1800-2012. System Verilog standard, explaining in detail the new and enhanced assertion constructs. The book makes SVA usable and accessible for hardware designers, verification engineers, formal verification specialists and EDA tool developers. With numerous exercises, ranging in depth and difficulty, the book is also suitable as a text for students.
Analog integrated circuits are very important as interfaces between the digital parts of integrated electronic systems and the outside world. A large portion of the effort involved in designing these circuits is spent in the layout phase. Whereas the physical design of digital circuits is automated to a large extent, the layout of analog circuits is still a manual, time-consuming and error-prone task. This is mainly due to the continuous nature of analog signals, which causes analog circuit performance to be very sensitive to layout parasitics. The parasitic elements associated with interconnect wires cause loading and coupling effects that degrade the frequency behaviour and the noise performance of analog circuits. Device mismatch and thermal effects put a fundamental limit on the achievable accuracy of circuits. For successful automation of analog layout, advanced place and route tools that can handle these critical parasitics are required. In the past, automatic analog layout tools tried to optimize the layout without quantifying the performance degradation introduced by layout parasitics. Therefore, it was not guaranteed that the resulting layout met the specifications and one or more layout iterations could be needed. In Analog Layout Generation for Performance and Manufacturability, the authors propose a performance driven layout strategy to overcome this problem. In this methodology, the layout tools are driven by performance constraints, such that the final layout, with parasitic effects, still satisfies the specifications of the circuit. The performance degradation associated with an intermediate layout solution is evaluated at runtime using predetermined sensitivities. In contrast with other performance driven layout methodologies, the tools proposed in this book operate directly on the performance constraints, without an intermediate parasitic constraint generation step. This approach makes a complete and sensible trade-off between the different layout alternatives possible at runtime and therefore eliminates the possible feedback route between constraint derivation, placement and layout extraction. Besides its influence on the performance, layout also has a profound impact on the yield and testability of an analog circuit. In Analog Layout Generation for Performance and Manufacturability, the authors outline a new criterion to quantify the detectability of a fault and combine this with a yield model to evaluate the testability of an integrated circuit layout. They then integrate this technique with their performance driven routing algorithm to produce layouts that have optimal manufacturability while still meeting their performance specifications. Analog Layout Generation for Performance and Manufacturability will be of interest to analog engineers, researchers and students.
A smart camera is an integrated machine vision system which, in addition to image capture circuitry, includes a processor, which can extract information from images without need for an external processing unit, and interface devices used to make results available to other devices. This book provides content on smart cameras for an interdisciplinary audience of professionals and students in embedded systems, image processing, and camera technology. It serves as a self-contained, single-source reference for material otherwise found only in sources such as conference proceedings, journal articles, or product data sheets. Coverage includes the 50 year chronology of smart cameras, their technical evolution, the state-of-the art, and numerous applications, such as surveillance and monitoring, robotics, and transportation.
This book analyzes the challenges in verifying Dynamically
Reconfigurable Systems (DRS) with respect to the user design and
the physical implementation of such systems. The authors describe
the use of a simulation-only layer to emulate the behavior of
target FPGAs and accurately model the characteristic features of
reconfiguration. Readers are enabled with this simulation-only
layer to maintain verification productivity by abstracting away the
physical details of the FPGA fabric. Two implementations of the
simulation-only layer are included: Extended ReChannel is a SystemC
library that can be used to check DRS designs at a high level;
ReSim is a library to support RTL simulation of a DRS reconfiguring
both its logic and state. Through a number of case studies, the
authors demonstrate how their approach integrates seamlessly with
existing, mainstream DRS design flows and with well-established
verification methodologies such as top-down modeling and
coverage-driven verification.
"Simulation and Verification of Electronic and Biological Systems" provides a showcase for the Circuit and Multi-Domain Simulation Workshop held in San Jose, California, USA, on November 5, 2009. The nine chapters are contributed by experts in the field and provide a broad discussion of recent developments on simulation, modeling and verification of integrated circuits and biological systems. Specific topics include large scale parallel circuit simulation, industrial practice of fast SPICE simulation, structure-preserving model order reduction of interconnects, advanced simulation techniques for oscillator networks, dynamic stability of static memories and biological systems as well as verification of analog integrated circuits. Simulation and verification are fundamental enablers for understanding, analyzing and designing an extremely broad range of engineering and biological circuits and systems. The design of nanometer integrated electronic systems and emerging biomedical applications have stimulated the development of novel simulation and verification techniques and methodologies. "Simulation and Verification of Electronic and Biological Systems" provides a broad discussion of recent advances on simulation, modeling and verification of integrated circuits and biological systems and offers a basis for stimulating new innovations. "
Research on high-level synthesis started over twenty years ago, but lower-level tools were not available to seriously support the insertion of high-level synthesis into the mainstream design methodology. Since then, substantial progress has been made in formulating and understanding the basic concepts in high-level synthesis. Although many open problems remain, high-level synthesis has matured. High-Level Synthesis: Introduction to Chip and System Design presents a summary of the basic concepts and results and defines the remaining open problems. This is the first textbook on high-level synthesis and includes the basic concepts, the main algorithms used in high-level synthesis and a discussion of the requirements and essential issues for high-level synthesis systems and environments. A reference text like this will allow the high-level synthesis community to grow and prosper in the future.
Pipelined ADCs have seen phenomenal improvements in performance over the last few years. As such, when designing a pipelined ADC a clear understanding of the design tradeoffs, and state of the art techniques is required to implement today's high performance low power ADCs.
Millimeter-Wave Integrated Circuits delivers a detailed overview of MMIC design, specifically focusing on designs for the millimeter-wave (mm-wave) frequency range. The scope of the book is broad, spanning detailed discussions of high-frequency materials and technologies, high-frequency devices, and the design of high-frequency circuits. The design material is supplemented as appropriate by theoretical analyses. The broad scope of the book gives the reader a good theoretical and practical understanding of mm-wave circuit design. It is best-suited for both undergraduate students who are reading or studying high frequency circuit design and postgraduate students who are specializing in the mm-wave field. |
![]() ![]() You may like...
Nano-CMOS and Post-CMOS Electronics…
Saraju P. Mohanty, Ashok Srivastava
Hardcover
Microwave Active Circuit Analysis and…
Clive Poole, Izzat Darwazeh
Hardcover
Dark Silicon and Future On-chip Systems…
Suyel Namasudra, Hamid Sarbazi-Azad
Hardcover
R4,084
Discovery Miles 40 840
|